whatprovides/db/iverilog

72 lines
4.0 KiB
Plaintext

iverilog: /.
iverilog: /data
iverilog: /data/data
iverilog: /data/data/com.termux
iverilog: /data/data/com.termux/files
iverilog: /data/data/com.termux/files/usr
iverilog: /data/data/com.termux/files/usr/include
iverilog: /data/data/com.termux/files/usr/include/iverilog
iverilog: /data/data/com.termux/files/usr/include/iverilog/vpi_user.h
iverilog: /data/data/com.termux/files/usr/include/iverilog/veriuser.h
iverilog: /data/data/com.termux/files/usr/include/iverilog/_pli_types.h
iverilog: /data/data/com.termux/files/usr/include/iverilog/sv_vpi_user.h
iverilog: /data/data/com.termux/files/usr/include/iverilog/acc_user.h
iverilog: /data/data/com.termux/files/usr/include/iverilog/ivl_target.h
iverilog: /data/data/com.termux/files/usr/lib
iverilog: /data/data/com.termux/files/usr/lib/ivl
iverilog: /data/data/com.termux/files/usr/lib/ivl/stub.conf
iverilog: /data/data/com.termux/files/usr/lib/ivl/vhdl-s.conf
iverilog: /data/data/com.termux/files/usr/lib/ivl/v2009.vpi
iverilog: /data/data/com.termux/files/usr/lib/ivl/system.sft
iverilog: /data/data/com.termux/files/usr/lib/ivl/include
iverilog: /data/data/com.termux/files/usr/lib/ivl/include/constants.vams
iverilog: /data/data/com.termux/files/usr/lib/ivl/include/disciplines.vams
iverilog: /data/data/com.termux/files/usr/lib/ivl/blif-s.conf
iverilog: /data/data/com.termux/files/usr/lib/ivl/vpi_debug.vpi
iverilog: /data/data/com.termux/files/usr/lib/ivl/null.tgt
iverilog: /data/data/com.termux/files/usr/lib/ivl/vhdl.tgt
iverilog: /data/data/com.termux/files/usr/lib/ivl/v2005_math.vpi
iverilog: /data/data/com.termux/files/usr/lib/ivl/ivlpp
iverilog: /data/data/com.termux/files/usr/lib/ivl/vlog95-s.conf
iverilog: /data/data/com.termux/files/usr/lib/ivl/blif.conf
iverilog: /data/data/com.termux/files/usr/lib/ivl/sizer.conf
iverilog: /data/data/com.termux/files/usr/lib/ivl/sizer-s.conf
iverilog: /data/data/com.termux/files/usr/lib/ivl/pcb.tgt
iverilog: /data/data/com.termux/files/usr/lib/ivl/va_math.sft
iverilog: /data/data/com.termux/files/usr/lib/ivl/pcb.conf
iverilog: /data/data/com.termux/files/usr/lib/ivl/pcb-s.conf
iverilog: /data/data/com.termux/files/usr/lib/ivl/vhdl_sys.vpi
iverilog: /data/data/com.termux/files/usr/lib/ivl/vhdl_sys.sft
iverilog: /data/data/com.termux/files/usr/lib/ivl/vhdl.conf
iverilog: /data/data/com.termux/files/usr/lib/ivl/null-s.conf
iverilog: /data/data/com.termux/files/usr/lib/ivl/va_math.vpi
iverilog: /data/data/com.termux/files/usr/lib/ivl/ivl
iverilog: /data/data/com.termux/files/usr/lib/ivl/sizer.tgt
iverilog: /data/data/com.termux/files/usr/lib/ivl/vvp.conf
iverilog: /data/data/com.termux/files/usr/lib/ivl/v2005_math.sft
iverilog: /data/data/com.termux/files/usr/lib/ivl/null.conf
iverilog: /data/data/com.termux/files/usr/lib/ivl/system.vpi
iverilog: /data/data/com.termux/files/usr/lib/ivl/vhdlpp
iverilog: /data/data/com.termux/files/usr/lib/ivl/vvp-s.conf
iverilog: /data/data/com.termux/files/usr/lib/ivl/stub.tgt
iverilog: /data/data/com.termux/files/usr/lib/ivl/cadpli.vpl
iverilog: /data/data/com.termux/files/usr/lib/ivl/vlog95.tgt
iverilog: /data/data/com.termux/files/usr/lib/ivl/stub-s.conf
iverilog: /data/data/com.termux/files/usr/lib/ivl/vlog95.conf
iverilog: /data/data/com.termux/files/usr/lib/ivl/vvp.tgt
iverilog: /data/data/com.termux/files/usr/lib/ivl/v2009.sft
iverilog: /data/data/com.termux/files/usr/lib/ivl/blif.tgt
iverilog: /data/data/com.termux/files/usr/share
iverilog: /data/data/com.termux/files/usr/share/doc
iverilog: /data/data/com.termux/files/usr/share/doc/iverilog
iverilog: /data/data/com.termux/files/usr/share/man
iverilog: /data/data/com.termux/files/usr/share/man/man1
iverilog: /data/data/com.termux/files/usr/share/man/man1/vvp.1.gz
iverilog: /data/data/com.termux/files/usr/share/man/man1/iverilog-vpi.1.gz
iverilog: /data/data/com.termux/files/usr/share/man/man1/iverilog.1.gz
iverilog: /data/data/com.termux/files/usr/bin
iverilog: /data/data/com.termux/files/usr/bin/iverilog
iverilog: /data/data/com.termux/files/usr/bin/iverilog-vpi
iverilog: /data/data/com.termux/files/usr/bin/vvp
iverilog: /data/data/com.termux/files/usr/share/doc/iverilog/LICENSE