From 114641e2c81805bd99a5713237af3fb16d7ddc10 Mon Sep 17 00:00:00 2001 From: Kartik Agaram Date: Sat, 14 Mar 2020 14:46:45 -0700 Subject: [PATCH] 6145 - 'address' operator This could be a can of worms, but I think I have a set of checks that will keep use of addresses type-safe. --- apps/mu | Bin 197345 -> 199082 bytes apps/mu.subx | 74 ++++++++++++++++++++++++++++++++++++++++++++++++ mu_instructions | 5 ++++ mu_summary | 8 ++++-- 4 files changed, 85 insertions(+), 2 deletions(-) diff --git a/apps/mu b/apps/mu index a59c7674c190b039499f532086ee7e7c0ad8cfbd..2497b2ad485270300703ccd925de4e6a655e9925 100755 GIT binary patch literal 199082 zcmb@v2Y6M*_WqwhAOQlQ7wJ_2>0LxRhyo_G(3>b*qzMERX_0yoqF_OqfPfWIum%OB zDIh8!3P|s62qH}o5%QaN?KA5==Oo^Hzt8`FpXW}P_cOC*&CHtFvrFRS*6rJbhlhvR z|78iw8iw4jPlV;DYen<3ZdjSHEdD(B81Mf%%+DMZ`-O+$|JlIvhDrSeCchviEGPb- z@BQ#F{6D*&r^3JwQ&O|akFBW@{-3D-!<4P5D2Ja>{+~JhKP&lv_Vj*!WqySDZFTjX zjg9NnIk`_%a);=|&9IosRR}+6Vubv6Su*9T8-omdE-2Fu&NG*!4o^F zn4WEkQG0prxKDQv4@)iygK30oF-p3UoDiM(EjqT&{68!eiFns&@5F*hkx8Yb zk7J4%&k8kf+a}FTiWDUwQtYK=lN$Z{n*O8vPH#B>foaZtMLlx*L&WUFx_Ly!7;JUs zYuSJ~vG?H(PSls&0Pqdf_^+O$o( z92^(^u(*SshgUb#_Z+7ro5}mb;^se`>RON+qHG72eGsB)(}cyYfU-j&k4D+DEIS^e z7j8=f$}Wby0%iZ?l)Mkovpbq)vF`nYvQx{ZC*<{8ZKow=oSh>uavGMmsux>j@4&Kt zI4Y%mRP=T<61Ke=1}-dd6Qa7&j?vk_4GU|QcEek&s9`Gj%ZO>uNQWrr2Q$xcZu%X& zAtYym;>)(vq>1NY&G&E=&3H?^2`FYeCOJN;TT1UD(VbIz$3%B_tC>B~TUp-nE)yP^ z93#6)K%pot6doxHTs%sz2cxOKXzT6{(?T1#jCa zeo4IEn$glESjthuSZYX1d62)4`2r^Iw9MJc^8uE>{QJLJt^mu`VCk65r}$V4mW;FX zab&0^Gya~NZa=N(4-8yByfuoA4-SZ<<6z=Nnw*IIB<80g?``oKR{`V%HK@+Jk zQI#e)BL9_6g|iL$Rm^{fCd^=&ZDQqL|Fsu+&_qN{V`7J_Xz?Q=V><@Dj8QyB_8l5sKA~{P+bar#?P3ae%9GOxuYjWF&ln1jm`f+qAnZZT} zMprSL>S0`Y8y)nv=VIovd#u(bbKynENdSxqz3eW4ALnC?b15>VQKqExwskJnef_2# zjIGWdEjxf^e`eXI1Ipgjvh`RtqLvPF(}1$oA&U<=ShfK~FRTi%tZZxMT-6~eB`!KS zJf%dI@Z$2&FM;J&VR&8$eY}upqb&^W^(f)aU~KRtIUpv?_8y?GTlmFS$pQ!706qVVBQmcKNo_Yg`+`!*%#7Vm$iG z@{{5F1g(qsDrapS#O4Ey3n18IyGe`QSxicoq=}!@L>x^VQ1L;EMfi=zTSDm znDY8GPFACI^oBa*?H95{?x;A4UPjb5UV1UxPu=rJfoHZ1&6Y!98IdlsEr{95D&9-8 zH6g;;ST`zjvpZ#lh_|t7;g0NnVm4mIJ#4EV&91#>2a}g1IoZ9b#d(OCriJyY<>nH3(jq^+_p42K&w6_}bHZEeay^BPcyMY4DTcn+A-nhsF@5aK{F+%SJLgwI_ zEHCL*l6K%;_UbhipXFdJhUm?~;j1CN@`igc&F)bPdt@J%!8)vBz|rs&L~jnJWp1`L z%@(a=r}jCTt)Sv}I;c)n6+fd`8=}{RqM5h#t1K!Rna9;aZniaCMejC5`jwz!JGM1} zZGCVh)8QJ%wpOc!NLpA+3q#a`lcq(FaKipz(WY87B;rt$)@-WLHxH(? z$`*}XK74y~3`UrDj!kY=AtJFM@(H<;dsm3^Z->e4D?~N-Jsgo-28S-0Oi6qv98@+J zevP77x2#dRJ5}qNnZOR4J~G0cec6tWx7Eto4M(>Mrhs?Iayp@c$%e`NxjnRs-32hM zsMFoF<<~;@=%r!~Q%G ze$)TITzYb3y!6P9+qs*01dyCPxtngkqbqOmKSBx5uDA8F!BvqRL&CK=t|Ip^ z{~QKP3U!q00=n&>kS88xoK3cK5$k68!_I{B7Ss($#By`JSJ>(q_(&EIn_kNNR&9Ep z?8+fc4`kCjwB&o4m2Ak8KcM6Vb?0L}!28`P!{)``yv$$LMp|Xo$Ua>c0mHMA;tf)va)0rDxpww@v|}g^r~f{ zEwO!7mXB0xueK|N*e*uf(w29IluDgY$s9(^nC!l&W8$?nkG7?)8O)2BEi$QMW<1Pb zNMhYtp=KUqH8Ina`M1>N(Q;|PaHPWa_GVFdr07UHJ=xA$RPctT4BIhN7`j8WF}1xt zDD8n*vqhLEa#)G~af?_a+jzhh!L3xD>KOx*B9aTsQhq49^54NvD)EFzHWob&9*47X z_{^Jzp+)Zk51-yB*OZkiqxe=n69{FP&spCX5V4E6CeebtS2LSTtB83~vL+GiuwB5$RBcY3Tb2+S8ii1`Aude$FgKIlpLV${3w$v2V7iM1{+{b)9z%o zVyx?J)y*rPB8 z3v?j9k!cJ#>DQq>SsUe=%p=4G%pdY7XujaFl$@1o|f+Gs2r#a<^v7Ss5# zsEPUPphTxfdSl|8K`jZ{KJLGV>_l05(m@#oiMeGQg)9w>m9j{L?3OvS(~o;9vmjeX zr;Lm+_k7WLur}kPllw)O`?#8o_Dv|`>lYF4_R&QzbD2|{taaG9oqblT(s^69N&DWE z>RjR6p` zn|U{UHQgtFvm33m91MXiyB>~E?G$cplEZ+>*|XI&KelFzM`|X}JjRUP>_$|KF?sh_ z(~LYDE2rULu*Wu7<~d^-o$%@R2Pcl;}^hxrq#FETZ6x_Q{eH<(&1@$p*T?uroy5TrZ z=99|~Mj`Q*Nx~*nGG6X;y|J2WhEK-vkouW;9&M!k6u2EsJFkQG{(@QlL7PJd>%y1z z>d=0ni-jd@aA3y;hB)Xd856fD|^DT9yLPI zr0`fbpJ~av_IOWTqnf9kk^2q*do2^wsV^B9yazzp;8$F%d0pdwogsd{1{bkTV%_`B z*wy2|2&8_HS>*?%(^B~pR!%z|TKQ0BmD6?eaZaMLY1p*y5x=M6zAS=2Glzc=>rW@#vg2kW6fmX=5ETA=o)RV05{AvAiRl$ zVfN-5(O;95K+NX_A~54HCMn$AZ!T+_Pe+7rHIF?L@0uE6W3t>l>^o@h!w`noGwWl2 zM$#?x5nEHN`{v2e(XIMGCc#jim1`UBJYwD##JYnrRDL|O%I7#WPopwkR>r#bW~jU{ zv&!$W@={brk7L~nCqmucnOWtbth^hQ@!mhyU7exwoy;oNVC9I$rm~3&B%s@O)62bpZSq^>q(PNHgJIXIWbZ;AOBNGIl4JDjP9-r7+N%AG~;6q zZDy+j+wRLO_6Ul3i{sRBI}Q$7c89W5KbBgrrM5Z`pjc}b8^bnV3n&Jc-BK(zm)3@B zF$W!V|Kd2k%~B6*sjUuR^*%!%s!A}Ro9@Q?nY^!;EhpT!C2QB{#&Hp&qkQ@N@6`X+k?MNX4nt@UaR77h zj>30R)<>NCo=iKMbBaG`h8i%|9VZsBqd7yM<}v?DujTev*?%2#0uAE47$=Xw{B!U& zsv6N4tfV!ay|x)+Fh*s2cVxy5Ba>g<@-Dzde47(D!%JV3Z*$fT(dL1lx2$qEvGLLG z$iMX3Y~*=s{dDt*=)}L_OhOgkmTc&n9G<)_M=Zxi#k$>O^bs*VWn${7`LKU8FFlk* zzSl6Z>JHWrOU@_3>mTe9(kmI(F2-;t^Zkoh73B>yg)vMY8RgzMjLty!4~)45@tzuX zl#exvG>(s&*d7mmD#^p2CC5=7etQppo|gkYy5)^u0dw&&Pkm;!DiYnig*?4a*x>6J z*!F@#7t;>uE#Yjb26O<D>*{CBK_-Tn)ENUWR!eUyE08q)B)o+g+`^3k<7oNjjWV|8^=o; zxx(>UjgrT-k<~J%(umnRabZjjZ`?dWPRXOa_tEy51;2U2vGGUoTY7v3*1U^bHW{OU zfcGlZHbag|3s@<l}076rA48YaC47`sfClrM))pQ z-eW$TO1zB*5^{Kp=ianZjk20|j$37Y;hp1E^Ug7AQck>cY}vwm4Y=QYHxqY0GFqHFmlOFZ-0?c} z$uA*8k$O543m3$~W3+II7M{sqp;+^bLsG_Qac*u}sAL4c4>dAa=$?s%eqv!4r{zgn z`2FY5Uc8)%g#}{4M+?hf!CMlmGFaG_iG^Kap)D=!rG=+LE%=`YnuqIo%$^|+1EZ2l zH@Y%9Z=-z^i{d`dJnM^Y**xu{uXMnpzMC*9SABH#=vyY3!Y2iR>=3%1BG z;&EP~F&y#YrjSzyiB!+8_Y*uk;Q3{szw+?%O2EU*W%`^*9$t1(7v$k3I+WLRDEQ%} zI$T;yd#8dJ&8I$)SqJ0*@Hjgl`BLhE(;R&ns2ys4JR}IbEj!w5*?l8?zgFJseT!{A z!ijPZUbjQ*ZQhlAQHl3qC}TT!3f~-;xJmx)4wQpeUZZ!nJGS!_8kG4d@RKzXIzNah z2`t~KP7c0RcgIU~L>WCbIg`G2a@(_?FPj3f?sTo;EI^aqdd(_r23!GSnJ>;M zgJPnN71!aDvjIhMACMfL=mN&NaeM8wIEO(on&!hTi)$;kS7)(#O?n^dN?@yDZ1twG zoBm9Mxm7r`$2P{GjyIp-CwI-8Jh5OWnfoEes{hm+*(om8eOfoK zw5kHe8La@1cp!+hGOE}KX-?8H+9bc;PYA8zr^7D5Q8g24az1aFOegXOPNPhjeeb&+dJ8s zPq)p7c7K@jES5&V!|#T&ZOPPagn60Ip?bOv2%N=?`EOAYtIGfJScF?lUGbmqPe|yC z-E(TUU}kn((e6dtLAATt94-C7AYdjrHqJZNna`I>nZ8x@`qre3@nlRP?*pbh^15P7 zWD~pyMV}8yp98zxny%$*WxM>GoYSIAC2t5`6tBepVki{U+o~a6`A?g0D`eF1d1EG{ zzD3rNSZ}2++>jksTtJUJKLSSm(F}g9_(4aAYc9*XuWMpT}WlBiM zRNfrt-r1$SPOY1H)oHByKw#A^tZD`<&hHuAikUjx)JZCucpI&cF+cG@cud~J+d1$v zD)F|r0Gg%k>m@gBsZMay#^HbmMI!@SZ6DlfrUNo2a6ncDo3`6+z<{iReOweVAQ!*m zfE>)cYQTUTM^!xeMb)(dRr9sUbQ;=mEQ^>D64|IamQ^#1Wu3sWEbbr60{*eg<&7nJ zY{&B9;HHDe@-eh(`x+M1s@b6B^+HrimweHk%sVal=^itsz3YAD9o6Kx&^&^dHfJin z%y~)Hq{R75G$7(uJ#;#++Pxq~Z#*GN@1vKAGv-)JL|p^ac;@m?Hl z!posT=G9O+Q(;W5CcVuM^B%K#n%msBBjEP%xG@@Zx9WZ-gDLykMYM+#UE{L&eD2#2pZ{fXU@85# z#W6}&nN0Tvv>^fWwp{Dr<|3{&sZaB*`LDn!~+*d+Rg zHsbA2=JlpTX|##b%BDQ7y_bDE^fhVf8Dl9pHa9UwuG+pJHt%k;efD=FwbUb_b-nFg zrmCue8rNGg)O|8T-G|xk2-G#+N_@=-t!r++`1M^%n`pe<$L-~Zm*F59_tJnHt-bgZ zu8^!`8NZW-Ogfgz|4Zf(x84-&hYQ%^+*4cZums*Pd*{q7>@toUp4g4O z`v+D^JZciTpXd^Lcd$hklyuxw_t!7X`ejkIjn*$1TK@&smm!f>_VeiZD5^fM^?&Ah z-E*h~>)$l3dg46RPej%Cwf?K2^|P@44Xxje_1B>4d9B}t^>OqtmjQXa?0@Z?+k5Ri z+4}{TfLF^V225beC|!mv43+u*W3ydP{#VQIu~?z@rWlSMvF=PQ=Dk{;%2EwjYJisV zzgq6iVm(mIYx4mXlY_heDMqxM^st5|U$MbrzI<<-eL~E3>@s27+rs;>vU}Sht9P?wIEr_{gD? z`S!feCAf#7vD&x@_hE|e&a-wrWP?dC^BEoQjyMMuE_iBW+9ogdMGN?CuGBup!=NjQ z@0|0L(Ym>PIeVkf4KKjo-e||mURUO1Vqv~mC_xKvz=CndERjJOEUeGO!d9_xh;z4t z7RqI?@Ovf}Zit2Xv~U|1yk4Bx5Za4!9W#zYRikxt12&kNM)3DyNvH+y_XzTsH_4+b zn$=i&M-%MlV~XJ+wtTEKCXe^6Mjr1Yji4`E^v?pMcF*9)$vF278Aw@red$8+P#03C zXI8mCE5FFf$JU3AKx$@{%d_$(R(>->pTUDhGVR)C-lp$h+`WNT20pYr6c|Mx~KC%u6H2{dBJ<-mbt#-j~CYxYHK)2Wulg z=viOBhmhAt5|EPk{F}Y`dj)2@AMRpkWxIFZy=^1RJ33bAhD-H0+3%w;bIW7Qd>Cn^ zMnPJsc=tnj2nR>ZH&^my&Z;|hzPw99zrOM$+8imop3mC0Tw-Y)`af3(VMpj~f!B0RZOW0R%V<7zekM(04*X58y=yz=xl)Bq zFF~uR+H{A^oBm7|9ee$j=2N%)=cen2H2o2u)_jjvuWHjj$uR*zVowhe+Ye|{UazZY zKJ}mf-1JvEm0qvwvgxdGrs>j1q>cE@oBmqXE_?lv=2P!AbwlSmV8EM&G`)_`ZEB#^ z9@_K~xrNEl>sH#7*Xz2PPaXK5oBrmX;JJQ?O%F$_OSI_$p-r2e6rZHj+KD#=dnZPI zn?0;e^Rz$hw^~>Ob?en{pkMKsyL|t_$yo)fX6hQ4cV=tMBcPzK$UL(t9nG85l!E!p zgQfe@YnhXmd+iHb-&?fVQkt9J-_F`0Z`xu1uh5&X9KAcezdp4^olR&8W9^<+(OC1O zJt_tKS*ov847^P=-ATNN-9rx30h`Q8c}nISGVR2YFA`WY?lUkCp-d|w>4WAa~zZ`~)hs8b4U z$?&aI5yK~+vbH&)@enY2N z-zk|6^QpV{RhSG|H*~@dZ!_@+dg}0hul)%(qOquLhdYbb{qTp832RJXz;IWW zXsxv=*_>sgM&E0$BAwiiWd)+3c?9CilH59Pcy`|&>5f0FofqMOOhW08?TG(h2J<6R zKhAxO@%9A_+5xE{Hf5mpL5C}5T^6PM2jpCx%_Z@fX!liJuU_C6FnXMOmE%?12x|PN zwQyLBH-PRp{uSlUkVlvV#v6nfA7}J9_ca=yjM8>85@=-+bo9u0-k0(hkoTD+{h5O9 zq5ry6{Bt*3U!4Zb-9v$MH?e`t-HpHPbYM;318FzwF)L+0mxtkz%w^{_w&eddm#I-* z{x#TZaBlOOQab!z8#4S2q5FsbL1|j#{*Y&x1jC=I(Jdv-D%#JXpA%^K?~im|Qv(d2 zrhG!=uAbq3YB)8ok^W`LKE=k0no>H?DYV)Qx__R>Qg$HEF$w1Rsz&a=tx^9KVYwrb+G3PzK^ zSn+R{rV_uP@c%Fmh2*?1^H8vxHxF4<^3TIsev;w+jzdxRm>g53nw^KvavIKT9{!TG z!Fg~s|3Az_>Vw9uRjNYcubjB~%dWyi@sH|>oqbJID_ z-HGAt!pr1x-{m?nhloC-%|A3njBzjVvwyFAa<^*tF6Rs_y6l$ z`S-?Q5))`AV9n%|y)o5@Vg75@e>*NMGY-VL>;JO7@WSz3Q73UTTLV9BpJ%Mn(mSBT z3A5dgpnL-IUnaq3mZs6`84rs`lVxc<$_Ng8j&-Z5jepxR{M~=%C;0IHx%*|rmks7o zy*In5_Zi_|cE#cJxF$Td!!hoCTifXf-9H@tDG!C5WD*R=5nYj~FB|D!8VhG*3sKt} zo@lk{A0C|jP$n&-rvSj4XTO{;$vUdmUoEdED zlNf{GJcLp~UoFIF zE6&S+!7g4|sU6r2sxP@b7k^S5SrXMan4@a24nS)Pl83)`6c6a`S470Ir@c_9{A1xgbAUC4?`$bvvtP-JQ9Rz3x32Aiob8Mq=HH0rGapMm2ICmHmMx?;{f*0-bbEVs$iSe#xd) zo~Z5S|4{vZwd@RRNGE%z+Hmvo2h4PMA(m+;;f~iy*v9nD(CKq>^i_w)EVBdO-6;zh zjmNru0_6ITy$U>!^TO5TR1hux+O#15?w+nuyT7={v4HIHP$_`B&1JrDv({rGlNbUGxz{FRE0}7 zcr7|hmy$BKitzZKtm01H+wYw0{nXdGp;qxbOYzB{%D|_*D1lwr9Foj$0FJIJpT-ym z-`lGl+-&p`R$nf+M$)^@-mjsi?eHCxqjGGzH>K|JudN%t?Y^QiVqP4L2cYJ?&{ntNYj4dUz zNjT{=zzE6Hyz@G2v2kv1Uagv;gcmHA&6UBsiSx&>(_gE3U!!eTS3}12H~+EY?rcB_&-HqtMlnyAK+lzn+F#%1I6H&!YRM=# zkNIt#Uu1_t-+*TB;U%;m-5>WpNxFbAbiQh>i=0B2d?2Z55>LzGD%t$XI(zik*?;P>XtLIe{n%{Z|NhWkpF1P_H2(73(JYY z-<~ah(XgEOLt5LjbueC=f0%#8`Tu48=xbhec$W$H&(Ey`Zl87bpQaq9%U%7YEoWm; zPJPvupsN@f=N0%>l6krB$j!Xm&fJlqk@C1>ab7~D);dYvZA}U7oKw;anbQP`m}@HM z9@zlA|GI#8_I!s{#f#UOmufSa7ca&!-yF83E34$NhZ%7?s^}dMMWnyp%K{bMp>jNv zPIW=e3F>b+?`@|+{6NWXdf(`5gm_NL4Bc7{jUWzCvVdeX#6?OblY9p8 zsFEj0E<-Fj&?M5%qe_D2y1A@n&UG#3rCM3$Wt8(Ve=lsyD7V&Au~S#YniL;U(K{f@ zD7RHHJ4l!7thHZAYCs&INg~8gl{6yx7UC@>rAZ1rX-FF+(oQy#9uQ|MNz;43p!hnuAb2m?%e)xe z%)G?cTILtQw#3)jr5Xz#sknzCqh`0hS@WXiZFxW|QS%vU9#wxEtG~`!h`9%wL|iIM zQW|1gC6OfEAx=^9yWUhf3n6Y%vXA6vi2o>AM^e}^+FY#S5Q-nF*p(uOzRNA0B#3TRKIHloHO-Bf zzk8eXcF_49VyUMrd7Gpr!~sfXlZ=A6LdhtS4G=FW=}z(|#7aXE z0&%yJoFw}pMm}w=UDg{tr!2%yO7@csf;dmfdXhB|PbpbW@+ZW5hnhsZo~0yc@+Zv; zp8Vm=OSQht%j9=tzCLWrZOV2~Lav^&6Zb>S6eQx} zTG>rx1Yd)=Rmtllzd+3TjIA?~q#(o=N**Wa4KYbcJCeB&zgAL%c6(VU2 z@hK&@<+v$cCqn#4$w`vWA)ZmPo#ZaWYNJge?W`tg3URoSS4d_-{6fidB;P^2rKF#d zpjhepa&WA)W?r1A$GpT!MdqW%mB5A*i_!iMTjjHXj-F)0FJin_TBj5tV#Kau8zFIBRVYNjZp}l_Zip0dby^!6a)So>bC- zdDFLygk`W{h#6?QF zlB|Y!LP=wizadteU=s1VEJ-tnqm@J|35u0F=9OjO^Mc=*7lTKcmst6M`4?bYVkKNI zOcE4^Z5u;ueZGR9sCl_e9&!e2V2&oJx_~(hHZgb-9G~kV~+HT4mJi zi$r>O>2;Kp5Eu+`v67!jK7@E$$(JP8Ay%Gbtt}&I3GrDaGe{;w{8Y(Mk{=;voouaj zA}Io~y^@9`ogvOrQi@~+#DhvANG?DuGsPq_1{Y)r%NRTWaio$xBvT=-Q}Q{<4v2S^ zEGEg3Xh>5e(#{JcaS&%JaY*Jv{85Q_0Yk+g@Hs3eMH7Q_uo zF3I*G9_)vhYo@jKGf6p!@k+iVnGW$wCCf<8K`cJYTAM*q4q|sDLrF$Kd{;>)k}n}% zR??6pr_YdPNMuAyk#vUWQxc&hD2o1`85~6ym=}YGn3pK}j`{hpEm3q=t_w2bZ>e~m z;szCernpDNuPHK$HoC!k_-k?rlPKDcnx&F#pR34eSLU!1#NkTvl1zcPL&=S~y6^uA zv23!nc7&uc#HmWQkR(C;Ny)n;X%Oqow$@%Gc?9CiO2&|Eg_tYF*6B&o0ODjN%}8cI z+^wV%$$5yiU$oYuNm@ZnQF2uluZ-I}5HBk^NRss>L)s&eaM-9MD2hIv9vnq0nU`v> zGA~gyjrm@%Em4%3tTXPYxPszL6i3JhzA2nT0lbTe07-`9AB-N7bog6FY#4@`9`oU@zveD{P(}LYolUIichFm zo#HeVi&0#lVgyA7UDh+&kObW!x%^1bEk(@}NW||EvhzvMxezPAW=U6)#tei#lY{$A`ut=l+&Q}`7FdTi!3=zQXAqhC7VemL0qTg9g=+z^DMU3Qb-Cxj8!t4 z;Bmb43=fj6oiVk0?1nG6>=_ zB^yXShImQI3X-U$hO|N=zWGSvA-%VAsM>#1oP z3m>W2h2oDYHl=t{#VQmTUzLxmO^L5CIS$Cq_8V#zUuOIK+ANfmu`LfVUdi($PeFV` z$pDfMA)ZpwmgFCZHQzLe3`I4P1`wZCQh;O{#8f4>dU zg!q|~RU~^LW?Nyc%_WJ3*j&jtl3oz!DCtA89O3~bElI9GthCZ3G6t1N8bKVcBt}V4 zd}W&u9AAGvuX!}Pav2ulzNZgK^cacbEa>+(1vz`4dBQ`-IBN+>EwUQAepF{jzNmr8W?-SllBuV*q z4e5nMto<&_T*hD!#KlVXk$evEl9F{Ke?qMGp0&1=q%FkBN~V*{g}776(P1eYILB$dj=cpJ-afOOk zWiOU{;V)D?O7UwIcTi+pZ~s+Wm$+UcS1*a{Z%{MKDmyxLeh{ac1YZFN-Lwr)n<0O+I zu2<5IA6jcQNV-Fur=$?cQiw;D+?MS`#^55vDj!*ECrN5S9HC@8i4Wp-C96q( zg;->bwe|{0Er=tOJV){Z#7#>2DG7?}u46S$C9YdDFH=;Hd5Pmi7GkmRS<3S|i%|bct$^f^5I9bUQlDQDSSMnsuQHVu9 zw$|E{)P^`rNo|rb5I<5hRIB@;;Afp}WUK$1HU8+~T2JxtOb;w&ZAl>`M$g)zYaQ;>OaFM@dq znCqjp{OhnS0dwDYo$-|_7NEFQ#k;bvN@(p;@jS(gD*jCIx{6;@WV~(sSzDKl@HM%F zNxc1yn#Di2qfVBR^|&MB;r9OlC}_^QW8xv3gW9u zuF5i(G58ST9wi4!(jewuXA)^=BS}Gs^_8q7iG?^yNfOC4h;J(yNwNvzDJ9)V{)AZM zOOuEP4=M?Y_}b5C1j`m#j(Mq;pLvP+EX-GeZHf5$<22^#s92U_Cl#Y9_EhnvY`d}y zo>%b{#i=U(Kyki`sT8>kzSyfS$uf9Ru4}RkmZ0WFB+|q3a!8ly{1)OlB{@j`f>`t` zTjvj1%~Gc_#CA%4A$c6)R3%@LBtd*%$(tlwA)Zz;lO!Et>GdWNuZNK|f!Ie$XObr& z&QQ{bWGTc=N=lO)gP5))8%gO6hBQMW9;C_cBV*79;v^+|NoGQPSIHM7TOgiR@&?Im zh$S|fMB153(g0$2B~Ov`gE&RWVW_~Da%c43d*A`h7GgbVa;sO=Fp!lYW%PDSAF@@rH zDn3i`xQdTcQR-AbM$*$?rilJ+EdHyct5iHv@2lE)y9R8o}WIfx6D+?72>`tu3IUzD67xdyS& z7L!Oj-;vaV7_Vdv$ry<9l*}Vp3URZN2_%Oh-cd4;q|jDF8Xyr59wvDRVt*yoNybB5 ztfU~xhY{9TI84bBl9>=cQZkL?bBM>33?aD*vGlhlk#=H9nm~L)Nqv%Jh@U7a zLGmTUQ%bUs`~$J#c9Tduu51!A1`QzgSMnptc!(>Md`j{;#N$d9DhY~}IZkk_OkrM} z7|FcEN`K}r!M4Q8tHX6(v+S_qWQsXe973^_id`wzRk0bxhgGafv8#%ODfUq@oFd~d zY^VAu@pnKjJraM9qvir6((R$6P*TSJO^64SbRszqvGh(`ry)sAh)*ghMKT5A=Sm_- zHbA_lu<4{@!Mk4bhx z%<`kPHlL&r#P&)iDG6E|W1a|J8-tja&URs5)jc1?Mm>Z$u;}j>V*pcEq z6&q1pt6~L;->4Wv@h27kmaScmIfqm{OOb2i%s1+%tc|baN+oOK1ZtMpZO1WogszPW z5c?~sPcjPPhe}G2d=2rok}M>J_81a}M26RuB`mYw9pbA>ek559@w}2xNv=YyzSmk? zNb(@WXO&DLSqbrkk|#;>{A9?ZNW{1HB+o-kRZ^Se7l`@y**Zl@ibL$Kc%i35f*HT#Cs_gUu#!n6*CF12&?M5IK_m?zj!^Ol$t;MQmDD6T z0>hbwh*T%`A4=S>FFAX=arlyxel?~A!}_1Nn40hlzd3ygSbV>t0YGt7CLOL zjVCDqv8$5)BqJcctE3Idmk_Thxt}EW5kuM_krB;L(gWhlO8$Dv#!k>myVMu51e-iV zI>x*h{E>NCX&ae;6SifgT^*zm{F#bJDQ-}42gPG5enQbzaS6pcD*7nqKWbYaL$QR4 z{U}yY@ezt#e(kb=+F3LsAyvASJ~}hCy7TBwh9#@p>o3=o8l3S&}Lchbh@bk|Ls# zwIoL%mN{vyy-v~y;zT7AN#;X5qU3Rsm{W#yMj~U~j$|st?MiBp`~1l2=I1Lacn&T6>P9F~rGA`jISv zcvMMilFJb5p0n1fDhXQ5WqSoL=6uY{poTLqi}^}VE#DHhWieMApz9%4#k>@|t9ZM= zmV8>pa}+15xR>H9Dz2xvNX2(3a*4kEl{O?xbfR3-WQo3snn#gH5A(|*S%Up6#InCx z@|UcAA$1^*P;!i93dHqFwvp_InBTS5-X|#rv8$3fB*P)TuVgIAClLQo(wijeydkZS zNPk+8^ntiQ$$ca%A)Zu{ha|@ZL)st_Yu6vgILa7|h4_h*LnNmmmPxa9Hjz|?=qP!c zWIn`Sl*}f{deM+(NW_CtB<P|}@b8N{PX9wIpdvFz{GS_P8E5T8|&lOze^b|shP zs3>D_5@M-K*4loOS`ddTS+68$xqTdu;pDY*IrGxlmzkI4_5$-0VOy5l=Y2G`lT>_@ z;>#+|rudeM<0z)8IFRD^Dt4gwvx*HVa=A4~RhMMB<&mR<^z#sEmj1&I*$UYfWH9fA zI8=#`WD>-!N=A_U46)c{Ypp9u9f;2=X-qO1;x;8^NsdA+cg0$ZB&iB|rdj}fnZ z5Pwv%kK{Lq_g}Tv){!)TI8n(`lD8nHDVa`E{F)&HkVs@aO)>}KekE}vCn4T@-PUP9 z5)bhWB_&CALCp83t&^4H9*F&wobRVw!FY(9l30iI~y96({I?T(UmSlJ7}gfp|#C$0XMvKJd4-HlL&=#3Ut?NLE0+ zpkxq9*4u_Wf<)%>5t3&iZclV)n3bf1SIsW~ELMh<%itAsGj8gOcw^wn5Ap zZmq2$DG%`}CG$u|K}=OLfn+blB3Z1pfh08{j#ct7Ng~7@N~)7whS(shwN{X1FvJg) z{4E=gjKML86(ek&6C^buj#Kh2$vY4)Dfxh;L^eYnM^vIk<3?6yu{ zl3Ea-Rnm%NGQ@9{R3SM5@!m*lEiXwUh*Ol@=&rlKLWn1o93i<5v2m2Owna(M>P+nr zygJ`yUWQ>l^RhZ;G5-i`%j#U;S))E)#djzURPkks2`WycI9Wx9;;Sllruc!14^iBr zVr7bZRV+yHu!`xO)cMmYUZBXueSWo;l*PSCE=aPt&!c9;9Com~%GM%5+6>}LN*a^A z3GuR$vLxX-4T(h}a}Y@~1mZd+zsnvZ?QDV=lgrlGM{*y;aZ1*aOo6yt$x@O-5GzMp zYtu%YS0^(35i6l!PUQ#lcq*Ojbh9i-lb|6WCcvMLp z5*K2_{I*Upl1>npD@m7Qp!9SP#4-hJowFpBAwH*M7s+&pzbIKtas=Z21+BH$N!mc1 zr(`0@yAc0U@;FKULWT@PB4f~wBmv?#N@^$x+L9`^!-Vo?v=H-RFdOr-CH?uZmj4;H zWlO63n6A~6Di)-8QN{F*S~6Y53lwt{wl;sESW3lB6lZj~JE#wj@!_*fwKSUw}bU-#QiIdMEW-nsN29lx>9VIJBo`bkkiI3zo#5zT- zwGku_Kul87m1G6PYf2iE`~$IlF>9?XNj$`Nlthwjf|&OnTjzH!3 zca&r$$zIBkcqB60=VK8UG6s)B{8Y(qlCK~}m$r32BdG*&oRUQ(K8S~uB$8Z**t(3h zHkhP4#P^hRP!hDlTegPFT;X+?moAlO-n;*0J{7jT``<@(J$$2LZHhmrSd!ui6{9F# zQ1RL$YBOEMV-#b`+SYectf=Cr6lbYn}{K6V#lBLh`UauH&k^48jDlJ*c^QPP8CF~rkK znv(njv1J8ots+Tph%1!jBKZ*F4JB9PBr0PNQ_+xkB;Ni72d?ZUD{-$IENuhfU>4QW(=t}Y=#1EA;CfNZo?|rsTS&||U`zeVec@E-cCBL^v z#K;)zgIK(>wYHC>9>j@C){)GCcu>hwB|)q8wdQDqt93f_(%A&&Wwk!R{BN)=t93zJ zjqU3yPNSHv;s}cQtJvy2DV9*NHN{#g)}+`%#o`pZtC*eQKozgFQ9p;Mc!VNX>)|)G zq^#DDp);Ub#KulG#nPe-(0<~f?-QPPy;3y6_*Y#r~&EBz@8@fjt#SZ6B4eM+v#u~+K+4zWpH zYwZ9@EW~9>HYf>N;h!`KUg0a4moB}+ysYr4%zp&ivckV;sq10Aipwb;P%(ufm(%Pe zT3wdY<8oG)<#ZG^i`KK<`%BiXbi542UP_LU41@Ttl5HgGAYNDUK1rVXhO|Q>y`Do7 z3o%*ASdx_xk1Od-lC6Ou&5($-79{;4E>dzI$##fY8`?T~NOD4KqvX2mNHPZfAud*O zh~z_v7nE!wiD+ac4)jAC944rE>erW5l^U|RxahFmA) zneHkTpP{&3#oiRps@Rqyqo~c|;3z67mrjYIG}O%TfbH|ga;B6K%m=ZClKCXfA@)-; ziDV?i7nKYmc^BfhN**Ek0b-hxnj{g84Jn62hOaP5BZ%=z{%M8&iPxhc&Q)@XWGBSm zlK#+7<8GJ$UDgVSlE`xi*AZa5_zwyc=I6@x2kxG;u#fxpvcJk zet}k({cD9>yd?52pl05OY@Zv-_9t^#6yiflN|D4u9IGUPWG2MbN-nfOyJBrS#NUklmDDA95#nb` z?jhL?@rIHxlBni})IcKboRh6U#-JUo5n^C$85~E-`tA`Mj_#Fe5Lb;g9idu%6+mVRhHDn)^>DvwQ zhLS=gQ4bqZ1BukREk{qO(+uKZB_~M|A-=0*JIO~7_bXXV@(0Ai?Mx!=ct>7or#8eM zN}gk#aS)d%=|}P<#FI)|lcYnu_YspwJ5@=BKzvzAK9bcCe^GK%_6PCpGQ^^fT5Cs1 zN<(a`WGl%4h(0Cnkt~9^Rmn>vM^ zLbxpRkHNNtaMuPJS-n+kMlngnsuVw0u`oqOYoV9brbO#SxyVSgu1C$wNW{fNIm61R z{{`{>4weiic?jYtB^^knLi|EW9g@8evvsuAijhP^Y^Ee#R;zf>17eDjvn209JgQ_D z$!&-=9y5vbXD!K75Z_SpI!P+T-<3=xxel>%thM$yNlS>&DrrZO1o3MnHAsGim?zF! zD@0NjVmBqXWy`@B1jW~t>cR1Kl6mRuUgjmfHZ%VOY)gFoDf_Bye4|u6LGdLOcTrrU z;^!2PsrV*E2Hmokf`e|HT;U|>&Y)(&PIe%owYyFUi0zeJm4mGWLtlt5D)ElILY6|@ ztz;wXoQGJfvq@xpR+5x~7^@_S?l#C?#8sZ;Hx{<_mF{CLHY3D(bUJ#R&lp}c$ z;vpqbB$c`v5|2czU6K_oJskycg_561c0&y7X6t-O5(%-Pl4T^FAWl^>gXA@c-zphO zaspz$c$0{4ok%J`?5d=plAsW-et&QXmttNF=3!n!_-<7#KM1xZglpH<$a+S_k`!N5 zF^b}sDqfRqR}Y!qfBNI#&K zRY;aYJgy`!$t{TWdsu5X>cJyvrwPRIN{*1c0&$O$EhIM}*6C@jy-U&=;v6L}l6(O1 zypk~_<$D=20EzUpC&>heUn*%vat>mJ-nLF9lBy7&QW8xv72;+kS7l?7G1vz&Umt7j zAW2n-Pbt|*G6CY3N>(ZfiphnQG0-yAa@kH|UOGFTd5Os(%Fx>mrwe|u@M~G9EI3!CT?o-l{|lnyOn|?em?VJa2E3t@y{~9 z2(~5SKaj0jw$XQ0e4XNc6x?IP0OB|${YmCR{8338l3yU^A8f7NPf``4qa;7c zG>Ds({3Xj=ygm-Ggk!B8BdG&%q>^nUvmt(`;gq@tBhPNX|km|FpH1holL_=apQS^Q(+O3dCJX4w0ONSbnIrwuz)Z z#4$?VRuZ%p7MBlR3$vM*0h++Ptc9V>Plat+3(Mq^AZuZ3u}ZCUX$@pB<=Q*x5zD8#%YthMbV_e1QbWHrgF z5VtFNh2%8E!XvG<=SX59PE*p4WFy3@N?McTe#VgINTjD#NqR$^qa+{6>kxM-xhW@K z8H2MBi;c3@j*?V`*iXq;lII|qw-BW2^AT(imc*lAKC{f-tO9a1dTDsd?$r3FajT_b@*Pwj~H7D(H;Aq2eF1i%VpFpyDBl zKdbl+#X~Btq4=AMizr@KaR$Zk=d4T5P-J9|oTPrr8NRJt7bP+yQL{D@>GqL(QBvaK z0f~XcF ze!f;QlH&I&UX{ICg8x?)k5W9Y;tq-zRs4iv(Mh)TB@`Q}=%YAT#W55a{G*>&=Oy?b zk?W!a|1i{CibTfZxGZN0iFY9Ws^lAzG>9c9+d8XAszdCrWG=~gh#x2!NAd~8vr77q z+=Wr^>v3LVL3O(nZy(};o^7+%AHQX;(lGkE}Zl$ z>7Q0a$h*JElS%wDv5`r_Vy4|69u}5<)fBIv$B6RDMEX^?uRNYd2sV*o(?3X0PfyO0 z6qa}*9b$Q@`??XG-%U?U4OeQwvR7l;5cALUdc%x&&g#NpIbH988VY!7`enCm9~=1T z*W9;8s=L?HFB^vj8PB|(J{^L;2dRav>WfTCEojW0&kHxMd2S2{b)zjBME|vX|~u2x!#K?)q2T&30nTj^f)XP$q!S~>v$$s$z~v>%c+Ss)I@qs zuh^q(sg)KBxZjeNuE@0}w56`Tvk1BPNsOFtrl%V# zsNhZ=Zo~V$X)K+CxhtnN?hNs~d0*G1e=w&S1I`E!ri8+ofC(NP846|HX+M^kVD;uL zs2|gcOF!VX?MLZ=en3Cp9zOe5U04yA!EAWO`f2!&H*R<#7$wmqkt)$PwW2O)zmcJ>B*`Cq=P4UG3U29FRZL-rrl!Mu~HuT3au@W$zoPwZPt+mFpdUe$Ho2 zJJn%B&O}i~t>LN_u??I5_?&FVCc1x&w_$Mp z+2vZ-iAoAKJ5!OG?Svw)nZ%g=N8{N)wF?3LW*^nM&Y2LiH5Db#mA>BNR8KZFN14Q! zeM`+c;~;N?{E1v|k`(z{+=T-E7Jtp5<5PIHkpqHhqay9d02F!4B&NkWYSwul@=eHI zi$xXrTfB<`{uT$TS*Kk}aEt8~`QuEEyvg2WBKbu`TDznii6?Q^OuY4gNn@s`nlxRI z_%4ar(yll)06s^Z^!jd5xvXP2?17?qX?xM~Z4WNJ7IoZnasl;fxKHYH2e}R>6tz~? z%WK*wQ^7Y=%6{q{mgH!=*vS5itejYUfBCPHI3>y<@l_0fTo+E2wlVJI`;HDBe{vUG9tFfwNJqy&7UIMy-WhC*nEufVt&9$Ml3<28l zA4MKE^XcsHV3tr=74QcSo~>@X=C7Ft@Fx%M2!&VBlY<^y6$~-eX2!RgG`(I;2lSOw1PNsAsNsusQSVYggQYa+$@bigRNM8f~~qT4aV=d+ts#e0rONJQ^@g%!C>GimiZ*%Zyp3(!Gj^<~NX~(wsFzg5t+w0fOc`&$Z zUZ>;+qFcOOzTRy_`VIF3`Md*z;4SIKdA6HVby(PTStR&ZOv=wDO_`Pl&G;f~ZA$X~ z+2nqcPso)%1C`IOHSNkBXF@jfAJH}wSPnG5X@Rf$&p!)rAAqg)B$Ud5N*9x{u1tJ{ zt(7tQm(|%2Jv3tzGKyVIU2hbdn5yXst^C}(o9I%@jxldT*Y;N}ctYT*e?rWisA&q@sDB#P z85Wi@4+EQADrIpg{G-vKR5UrdQhIHFqi!vE1A>^7AW6v4JaG#KJ#C+Fhuyu5;naL4 zAd{m~W=8>LLlPHjo<_(?pj#yTgXR=L+=}R3j3k(mH><7C-?kgcd7V-y*u*5}(ZNsI z0mRceVx8CNu6R!{F|3+q!qEB1ViHG8QE(C zy&NH@5-7&YxhS~7B*x481H8l)z}W(L)q^nsP@1>}=#t?Lzgr|K5ec(u&xxYl?YYSR|*mz%En@ z>_Q6^9AXmFh0_|g$#bL&|F69_fs>@D^2d9)7FwaX6+}#ifjOY2tGcI8W(EcU1>Kba zMLamFtE;D)>8@(3s-cHr)P}*eZCI6MS@8e`aXnBG6*&|HS8-WSbOl94QK5!WjzL9n zrT_2y;>d`+sxmA8zx{vyza6OP%#0V`i1#Am#fuk_krhPBTIkV55w$SCQ|W_<#zc7` z+t|;I7SoBHO5Z><^O$Y?Vc`YYlS)r%P*F`k(NU5|rPWCr$VMeJz|j0w_7%=|Xm8&V z%DjH?nse}Hza#Kx%{mG$-fPX9>CfB$j$KyU>63T#Pf75gD}y9RRXa->NH*eE5REAM z(~Ti-`EENd4B=Q8;Uoj$xfc}kstg;$5dOwPBUj=12Evzo2v>w5-033xvw=|aA$%?j zVUvsSB?BSnL-;`$!hnnLpn>odaVH&8VpO>8*)W7Z{!Q1#Ux0uLB){xudIL&c%phVcb_oDWZsQD8@%Wc(uoOwI|hozU2jrpsP9g2Ck2`7%yg$9YDwV=(njoZ z|Meer+8vD-*#>x5#FD}jBtcS?|H3K2fiPfCq7q!F3#!Csn?&E|K7o>x{q&r$srpH- zLagkEEj=aR`)&BH%l?Z}&9l+|YOVUj+!NYv;oZ!)tSl>6D(eThTwISj-@EqJ`F@Rx zRr-U-_pZZ~R0LZYDQe>Gen#Os((A2xQUsNn|_be!1|pXegKI1)Rxg&;YRUbOCB;R1rg1E53sc&iu5ow1PIH}3 zBcy%sUMfRV+M@64S;%@>R>A29^5GEM#Xw7SYZG0j24&>A<6BJzgN%Z?j`jh^IWUTG=B*SDP+3;!Vba6 zZJLqoZ>_)Ct&x(>pmZVH#WRgp9jf3(w;NIrU(paUPx`|Z@^s^6#F)?=LYkr>$oR&4 zpT__L-b-6_v-X2ZbRpL)BOVoq<#8&9a*}-O$YeKkdBhpn<(A$f7U!+ixgbs zl{^fE^7xk1ygXLfLf}gIGEjCFJbvR3E|1L2&pd_tm`gxDnX4(~AO$3VS8%a7%^Ex| zpIZW;tq0H_|I*^Y%TL%2#Y|B*Z$v;U6v zHTRErzU_D#2tB>^xyB^LNb^ZKVCCrc6UdsoR6+MU<#pSK)it+`XEfPrO(v3kd3VKt zrzfhFb52kBtCe$6TaYix+xW@vT=@#cwNYm)R=G>h3Hg5HAVoR%b*>*ye~pp8anDOt zVPq9thkRcU>Ce^aWh6Xivwx+aqrPPJZj=-M>g3rL>)4IgvTzZxEk{9gUCKIprZGlU zE6q!)ETmSI_3Ay`%3@S2~gjD3jYi4%)6*pf0fPC6@f9w|bBg7+v6S zx)m{74@E|csfd*J9!`rwbM@3e#B*nr^;(^^9KDq)P+mQnBz&E_J&GqQ7>vyCrIt%5 zkLNR1`N-A%C(-79OsNF$_U!Cm7E`)u9XH3F4+Y!4KNW|2-=7-DLZmob*on)uqz~d+ zK>|@rS830VN7AaaFqUn7;u>3tObe=$t;sbtu!g8J?*%QE9!Vy;ReR zQoW#^HJ(xlPbt`(KlB*(Gk3jbJ>6Y#HFB8U4gr(f6gNXZ6cu?1)Y|;ck{=oco_QMM z2S~W!^E*$!NF?0$AJpW@eaeiyQ5Wtp77^%`OjswqhxIKUmoC<{cW7F{NM9Huwqduw z777`5vKpUhkR4$bbov$CvU$9QSKHvBYwM+C4`}mk{&L|9nuDNb|3X1Wed+unn19?t zvW?$AWy#>o={@J?AiM29V4*1_F>oLKj2_J|0jE5gpZlCb2((zPI7PMQ+26q?oqM#z zrs6W#D{XyF*L=icIBD{H@<1TX0eO5+45u2x2H+$Mwo> zuA|M1s|{D$KbuRI}wV9m;Nb|xtmW8WA3Pa#m9X+9fW+b zhb_ml;07eEw;yRQW9d+2Dw*59T{HJ8JU$-?g1J$yFpIg1LGnqE^fpe^(MtEoT_e(v z_>8tuscisdZg;xiq4{0loo=|6cp5-AF9pfFIJ?V_ID~INOfe4MfLJw>^$UGJC;x@) z9F603R`U7NjRR;b5v`Q~MDA{4Io7VwDqkqbt?1VF$`?9>fYB>ock+ur5UtyBB+Eac zhk|;JS>zl`pM}u4|90)9(L@o%-e7eY)7RfV}C#Qac_OG%&VhdJDU!PF!e=8f{P4Yx?c(bB&t?{kd@dX#YP`#a17 zO7x>GeGmX?3?8K3N!O;iIrryt48ETEDx5A*kPe< zc4XHeEhBa491o#qOPz6sY8NYsN_w2WNw&*t^sQ`i+Vyz=SCv|?|&+-cmx7Izx+{@lP&h9=;uGKcF0yeOaZHxQ2@vhs+^oE(2bEg`KK3fSjd z`YlGf9gTjiIAvsBjgtvLis5N7zPw*YmpZRe zW6`DVLmppV&^{v1_#%6#*zZC!K-Kufl96r`Te*?WZ`}=TRaNDB(FvqIcmPSgUzcv4 zO~viM_L1ax!U&-$64e)|QRr0>x9M+rqqrtXE!1CsAGcXt-%SW|7svcQS8#4uT(u7# z4*j6^@_AOFWSgH#4}`oH-6#CG+aHsH*&pl7=;U*^q2A_qqg>$dQ3848_WyL> zU|=^by>TKpj$H9ioa8`PsLSTB;S9OO4~Z5r_fUOzDb}Ae6iQplXo3Ef1qg1GpXE`v zWuC6dex%8S++W9Y0p#Y9yD~;C@e|9#nkymWE_(KQ{cQU|o*EKb7Wk@$bcOD5%$}%B zp0!lFW`|%KbY1l|@xqIyKFun?{66#hiott+4{8BBlF>$%)e;V)`naDr znt)W4eE0c)%3rVZyGnG#dxq|1k3s!a=zWWXi=jF^`@DOTq1`>X#@*CaL|YK>FAqMzKi>p##~gK5ouyPif@bkRWs%LY_y zCI$U@7*zD|l7sM&N7d&Vr)df*G!^F@+3Tsfqf5Q<4rW#LDBMVW_KNv~=Kh&Mo^8zO zrR7EUN-OOr+KDBRl!e5Ov)LC=z^<*2QS+JKON>s_FC*D-5w$J;5D8N*EDV9SuFLkN z1k`rF%WfFmD#&;sqZhRc(C^W8>1;?y)Z+QSR09Opao5(55ZUbQ;06D>E<2CHg+2&S zl|Wh>htWnnDJd0=RE>}H;W;i#W5`F6XV^{0kFV)+Cuj%i$J-8|;&*Lzke`>=3~?j! z+V&I}*y1v9^CKPxvIJl-u=P4h-~;>_(#t_aI@;znmGi`1;l=Bw+^LY4G(1 z_P62j0yi_)!hm`g2}rZrM(=OAiqJq_Q@Y&cWj~vjx>NcWM0!)-z`$q?TxZix>DnN& zi#6H8o_==z(yK~W`nbW)JD*kJ-1Zg{MAz19!I>q9yWNyG87W_@Q+fsQ4i+|x$Xl_m zhww#r3n=3o+?;MPa(Z-!F5{QEbkH@Woeri}rF+NAsh5lS*X$7G(J`HK<8Gj$$co`k zASXHyyzhH_hqLe4{yQe=%Fk)_Bo(38b2OX=@4D~^v8R5wOEjg@ zYz7*VT8^jE?$Z2h+xRq;ml#&OjO4Jr$NtbSfHd;2o|c~Z>1CZB&k(rR4^Wqtghnzoc}G5xbL)b;lcJW0YR&=JA`K zT6s(#SbDu1d7q9{hR3O7KR_I%vxut1b;jwx#opa-X6ft35{;B~>LX61LuK19f%9Rt#Ak^H*`t8TwY&CHLd@r9=OW47x7= z3Wf6evX9gCsq}X5aeuPx-ZQ%{yPRTl=irhZu1j0G)F|1_Pbx_*^eQGkq@R`EV~}2^ z9}^*L6h%LwBT82p^l#9Qw|8Qg5>qtwZlfIETa>}eG4yB|bkUvh-ssj#Sq{)Ps6`S; zLn}{iMO~MjjptVF?ML1d*=;{!6#65-*Ye=Ea1@X4($B4Kd%k{r=Jx9J6e{Bjf3PZ} z{uVpm4`y_}Uo`Svrh#0?;GVj*m%eR~{*8U>sMIrsSgAuTv?SK6xM>aD-*w4S$n#)g zj+=FFW9x&8Z7HzbED_h=ekylsDc(6m^4KIg>De}mw;ione9$QOiMrh41t%rijDBv3 z_CWpk%Zh?1gUHkz&>8Iyc+xMz-H&Z#~52O7;u7X_j{WpsU1g(4@0ZaD)AU zEm`p*l~kQ}uN9)5|B$fpKZxw)lyCl4$QVLD0S||Vu0v$k<(Spa-;KE01qwRqFJE|$ zym-(5msJyWx&L=>S)wn}n8>pzJulQVOlfVu50LZMa0%qZfOlF^aX7Ol!P(GvyDm8v zbh{0`UlfN%v5wi>K!JbtgQ>p7mWy$_K+}8AGQzgogGzGhdhOhhd9MZB+fE|RX4rSj zx%2wP#W^>>>HTQZwu!eDfnX8OMkvk2In|lA-9q`f?|onllkOAtd7Gm;S^6tA)ZD$x z55T}Z&yaJo+=RtQ^cGDd8vR!2Rv~A*Wj(%JH|V{L=2G9Ls>&Vn-lSV?=?H`LWS7)x zvsbvtM;YV?yW|*`QA3Rd-8f4F2I*7m!2`wiW5rbFZ3A}cm%Di!ZzQ}`CloAizsDU@ zPsN}LNtMn(3L&m@f2i1%;yRQFsB|n*S;!5n8Bm&SXV7KoTsQe)EhImk^)@Ge36hVy z$sc{7dG7aU1900zDEwwO`3)^3$KtdY61H7qv4&pJc+Gw)mf5GnX7qp~nZK4#P=GkL zy~#?C!Lo55ZyN<~Vd-Dog&a6N&9%Lvy@F$rUV8;}HQ5zDxKx$2bO|INi}#JklmzF6 z@oV>Y?(K^98cnB9#3iJH80z43UZemu{e zbH1bWZxk)fFo?c%I}QN(9&gOS{em{Pw(B-r`l12(@NX5TT2!h*&(A@E^LxzRu2mfz z2xjrGCIZn6wlAH%9WnS<_mcfWx7F>!-&Oi5ip{3?n{@%i{eS%$1%hTXP4QCZLn^^? zPlAsHCXhChUE8M|p^97jAmOPReDF6)2Fk<|L&D4K!S`lOR{Fe=`WpRMzAP?Yh}n1c z4kfm(t$!qT#FDsp31)A*gLG}J5W&JNTw}5c93nUaVd=JOrLuuJ1}`vAD0RpMtGw`tE4734MB6;sbW%6?4OFgaMWQ~H)s zfKImn1k|zZedIY))v7wpcZuHDX+CfOva&nPt!`U;*vRAKkE#aau_f*1_V8le+2SZ0UoJULz=g!#SAmc#EglYUo1{4o_!^f)=P+{kfe%h_GympVS|w(@6wr3y`h z{^^vH=w&42$F?aU(+$=wRE%mlpVN)5w3~r=okkSu$WuX^bgIDiww!zxs=al1x$?KS z9-UcfPooSk(~q}ZPLeR&+o$y?AVoC>QS~%_#tnzt8|`dtyqah4xFzl%ZYWVa_v@Xx zxp9`env5_(jL%l-0EIN%PYEep%+P2A4K%NR<~q7f2%uAH{sHeMjlz6M`Wuj zI;w?~x{cI3v|CX126?;)b-5E+KT8oj^tcj%+t)tpHmPF`%xg8Kbm~jp_52>X2-h2K z+KMLE!`I0{GTD1yzf6vHOK^r!fZVt^!2rEhgSz%GwR-dp zsmp{$6QR3VLejkYMS@mzPqoBl_#y$sGq~BmKn1>Sx8v^FwrKkf<%`l;85vT>U`~RF zITmv(b{w#OSiBlC&pSn=YQP?KyUiT>a@}TbGFblf&y*bJ{|VXBx{+-3@6$1*TZ{x3 z>Bojg8`5q3PQUj>5G-$4$pCGvcnm#&D^^4`u034$$^akt`Lv-;dT73CV^r4UVw9x$hvxG*2Sh^o+fRJD$W7 z(L4x9iG0l;O~ufDwF6m$A(`bE`J3y%)ZRqeg#Fq=Uz6&^D)c7usU`@-K~!+{W3|d!$NOZY12U zy_c$QtJNz`^=&hn+c-}G<%;wn?()~Yl!t6OVw?5PN-K?QTqmhg)}G?s%?J4*&(bO* z-D|!13x^6-7Z)$%Mu(JZg}+=w2LA782bCzcDi?+b>88xh-i7MKzxr|RYHod1=~x5e zlNw@y-Ic0r@6*q>Jxd6|SktRYCmL91=`=RhiTe4re`73E1^(4XN@l-!xuz?<#(>>T zKVG;MDOC|4*R7`X27~-T?Y7)DMATwnoE=1d(??nPeMVC*^efP7^fPnh2o&luhETgp zZ#IhXdJSR=Vzqv5$kTwC?k=5c!0f5XZJ0m)NLBmnU0Rf9S*$&vNlIe|;+^`jEy@q+ zmROoH$S>FAt}xW9o9skOxf7uj0WX|8@Hq$N&PlW%~?*1{Yu+@ zNmo@U~*E8sXw#SgEY3hr8jBc{)wkvF6J_*Y03|(8X z<%gZkF}qOTVJ+4hvrfRpXYgsG1jbd8l|Ux=`aPJ65f- zh*MVRcqwJCmk3I0nr^xl5~Ur%GJ>1@fjWZLYn92bF_b12^8(tl?4=M#d{2HA1%{YQ zd=&dx?mhBiPn$ZTnqInD;0NnV)6`MbW6-Ui`!$!1gVReFQ?y9>nETj!g+^7H10@hn zFMSl4zZRRr@Pr@JW}82i_z3lfc`^+ti~Hxkt4sb_fcy{vA6tI;eTadKq(H^vOIZ3Y zt5x@&vqO1^r@yHZNmh5cE|}HR1wSvMXvHcTf_Mrn(Y;GAMS4>@=8d4{>^az(!qQ=s zLZT0G;!v5~$CDdZCcplUmdQydR~-S%WagWJGAZAw6L9sP?cQ^Fq)gri9Q|N@>HR2{ zad7$ixyLzLzTJEs$T{g_+&SE&QYJSceJq)LiBd?Je1{Xu8!nU^Ak)%Mkv*Qhv9yC} zL*@66zg6xL(WY+rwid?%K#uwd1uVb8Zv@J3(MM&8WS`uf?t|nf+g}&Rh5S547rWA=Mxld|Nl&=F=f?YW zVGaSpF$lQy!@;G`x~1jPJMO(%`qu$RKUiNngYF|re-_A_m;OH=wM+l_f9TSm2ZXH% zxbzp_ix{8mt6$a$xb!3Ly)G;j^Zmfl57w8iqkDS%Z`NbkuRn{pM+G&KoHl3Zf2}0W zDBX(0%~@>x%4TsFm&LCF;UNUf;x+dKviP1`Z5H3|-fNCjP3%rjWb^t9*q~N-y~(*` zad&#?VeyRhCYxuJ_C~tq+;w`mJMvq)um=O74*_#`=hp(c`}~)50ooh z>r3a+y^m?hT@`UHRNzIxY|i4+U)mM;N*qdXvB?q_`?S)JLDB-JzW{V|PS-qQbNVJ8Z<*8I0%4aM6sJ$Jx$o5trd%^0!d07pMqUs_7{O*riaNei3~0J=G+r~ks{w8A4JbGjA?XCdG^-6fdQ z=Wnq&eZsxhj476kPWg7QVb~ldOR`S?5hMYedOPTQP{ih(j{n@|^s;}~1-}Lew;^Co z4`!>`SEqZsoN)*3aPLh{9|w+pu)g#p-78MD0AvUK6XFaOmFhXeQnhE4UI1ou7T@_Z zo5kySpySHi<70%d0s*r)z}B*l#mwimOqj)&yZ4$S;^i&C(GS*_M(93_#q$tnu&6lm z)?yWy%~`yG-~4k&`MY^EWEQso;aUXD;_KP?^|5%$%{Gg}?!D&7$IJTC_rOMUdwmcj zEpYk>irAdf+v)8c)1LV+9u%3=CxFm(qvG^DHdB3^p8GkQ(^K7hlhfmYqaUmciaw^e4JkJS)0=__g*tbEPWa{`oa3r zEp*?6(>p-Y0;l%^x;dx6eaPl?k<00KfUpArb9yx!pFU16|BTJ)#qPakOtHihd^}xW zdg;e)PL-ZvX`E4d1x3r!3;8gXNV)`ZE0Erp%r894bZRocmxrwtJp6M7b|d+j2$-$A z*(&w1^`)C^wr+Iqbx{;s`oa2A6~!_-rH8Ebfoe4zqOmPw9a_^TleuBjK|;AkC8>=umASKLSykKC^#9x z6oP+6a2JB#B6#YP6dXt|&NkK{7)5Y9f{%Whg2xaXLzUdBgbd z@)}nmIF!0p<6#6nq)r;wAb1$TA4!ik-ax}f<6Sg7HhzuZDzXI{8DhWjE(9l&G#lSS zP^Yfj=pdck_y&T%A?TyQs4v9_b9jv!Cw&!exHJA1h*hK`3DsI5y2x5P;mJVDcJW%6kLtq zzY!cq3kr>|An2ksmBx(-4u6n>3lRJVf^#0C-~j}?|Ad0KA@~u3&Yx0n9D-XB9P%&) zS0KQ$e53L+3OasH0ake%Wdt`O*yk4%VE0JlSp=INp#bkEHXcWC>MtqC|B8YSA$SnM zzK>Gy_Xx00r}2FRFa0$IUqsON8wzek@Q&Y7@KprQBN%v$0&GleJcpqBaSApdxDLUC z2)Y^+OdvRP8wIlneuZG&6BMl6PQk|z9Q->9-iu(j-&1fgg8iSQ;1>v%Jx#&XGZYLw zOTpqlQgGv+D0u2Q3by^3f_2YRQ1~kapZISIKK?ffPI!TWM-d#oK*47a)aaO+#%B>M zrvq#nFCh2@9edNbhE7{;^w8N*|en(+NQhe15L6cr67(>nJ$&1PZ?NDhjr|hJwfc zfdV>ThhpDA!2@SfaPC5%j&&ng{t*A*mtIUiS^?m7Ci&R< zgYilIQ`h*r?s)o-!9}c!!=1Wjx^?T;b<$5zbzmc7=s%UtOJF{y4Fx(^+QaMp2PXe7 z|A({Mln7i2!CZlGxK56L350YN3iCPVcvP`7m)*YOTXfWJkW=HPaM{z5O-=r1rXqQ4j?XX!80;k)QBcCf#P z{z4vC(_g$4@?rW*e>!fUzexW{`iu0RqrXW1CHjl>chX;^zlZ)J{eAQo>4W}(9%aXb z65}TJy}Xou_!kz3O`i_^me6k*{qRrGs=vU)wRx|`W$!cO34T`4PbV<10cPHV9EIS2 zl=3^o;6wC#gnp0H?`iryPrqG1v8Zz&`YF=?zyI|LRy9iVIRvf#eMDa1@5@L|%jvg< zey7myZ2C>o?;Z5}C;EMqexIY?*Xj3t`u&D}JLtFDCl__@N57@?>!;tz^m`-y&ZFNZ z`dv=H576&3^t+pW57Mtezm88W>fD!pE9iF&{Z6Of82z@;?>+SUIQ?#?-}mYFDEg67#Vjp(Y-o^?joXltBkva=*C0nt|z)J zGVZ%X_wEq7`-$#a8TSOyeL9402hrUvD@Zyo&x>E) z(K$(RC?DwRbggL2yiXyzcT(JeL<9WyN<3Vfc~^<qKTFr3!9Nr414Q>tic|bP zK-b9Eq(Pc{iS99qQ~W+h*FpR~L3A(rtjq69=o)m27hvJvzK0T@6sP#@p=(8B<~>Yw zCsUl__stRy7$(0XM0dW#1HUtL4I2D2@!m~z^AxA}y^^kj_`QYbK1y+l-_Ou>5Whbq zy01{2s^70mI>n190hRR?9i88yIK}Ue=vvX3#{*i`BWy=iK(( zN!Kbb6YnCTJA~pCzl-TQh~MjpE=zHW-(%=Hh~N8(?qrHn{Ju`oDPB|=b`af}6sPz- zo30g&S-yjvj?N0jDSj`KcwT;wC%Sowhx)yeu2o(p-g!iKBgH9xZ=&lUey=3DJ1I`_ zdmmi~@p}i+{g~nuzdx6BiWgOeM~Uunic|bPN!Nhv6!9V=Hm*@_mIMq&<(zS|LdE@>Gq8p|-+HHzDfuyFr2x(1EP8_)1>gy{Z>;`Wks*U+_y zv1RjaqPv;m4wZCw(zU`e^S*`Xen4@G-=Brh{gmi-P@IzU3zE*{7vXz zUvT+2(zrJ1b`aeuM%w$-6xE=FB{h;-FZa!kP-Koac$CF zNp!n?(dDDdxHjqTAiAYS+@Nu7(mhIaZ!zK~jcb#x^T>|Q_Ze~58rLRW57B+qi2G0D z+N66k(QP;4{$^a8be9m_VPA6j?J=%Rx=#_^8;rOSCf)Ny_cg6A%pIT z5W0(qZl~K^xpdKWkX)`Oy2S=vHiYheqC3H$J0pZ{2ho)cy0?eW9Zcio)dt-SA#}$R z-K_@QzlYGBM|2MvbdQD5T}gD$8+3nnd$3&YAi9GoPW7Y3A#{-G6GV%@8KPNC{SIwT zwL8SYw&|xI#>z4J3rsx6Up!ZTfpH4m<8PmVg?qeavWA}ZNPP7Sc(^{%L;v@5e~FBH zy(jLibbqakD|q5I()}GWuIh=qi0*$Z<7Pc^b9BE$#=X}Q_kOzHi^`31UPsp}3?A@I z$qLu_r|c0NO;xN`D^+K_>=a7H!p6*axn9c@&!5guAQ3)G(XontCpj6XUaZwKh05eq zzFN$brza*d8;jL)aUxTzSI5g6G8c~5OPS*2RDDw>U#;dh!BU~+&cQgz%aw8f1m4#2 zl^`f{l-tOBw2&Yrs=>P6I)tQe#_x=Av(KCGk?0jI|KGv!JUYkmRSPisjK7MRcIqdt!y8 zCa5eT4-p0s)(ZJ@W^BA#tGk4SQCt^BkMiR(d2QqPR4oJWT4uUjAD_sKRi?|FXx)el zvu>n60H9c?lt(iHr=G7;@4T>7qz=esATf>AGnKJ1f%2Nf>AR<@oY8oFoO<9`etcrO zDnv1pyijz?PRGbZzT_Y{(kxrKI8$eVWG3@ zym{iVCI#Y>;mudwR8Wr@x9HqvtS;ao7#p9MD2`%fhk8RXKj~C1C|1WNDi`uZbKQv5 zkT0x`*PAqCK_VNn>{9X%K0e@k)e&WLXrW*G|lFb;#iW4hiNNtU$u~K*U98T%AAZzu? zR7U8gYOy|DE!Q#_eP_n&Es_bPv)II>W=_hAwehecP@N0%6VpYkW9pm@DuLl@ZcF7d z-FS_LhJ3v^Ha!92SDqk}SUsKz6%$!zgOp}vjI`v0)ad?Vj%=b1H!?joM(R`*T3|2n z71pMmoSvwUPfZl9uEAxn>1e=Fg^-Pr8sCP}iYD;YA~Z)$mJOMlBeer}>|qD`xj5?7 zD-J)$1sIiT#p>T?LRm3_M_1&QjCK0sslsMwGGslCjn5!KhPboT zhk>dRl#3hk_3;aeFhw%R!Lo}Z5)iS>3cc4(MzgY7QHeP-R;^5CY7^tyHqMNWZx~nN zx5mHFgxnoPIw^`(mW3Od&?;rts=d%O7Zs}&Zf?Dnb_}J@N+Htj*mKo zPIL1-sa-<@LsY{KdS-rNqEaBeV~z^yS*JB2P){?p=|Z7ctEmCH<`kx@)nd8sOjTHu zL9t3=nhiLk#af{{K2@(&Ez`pfH$6qlu2>6ByVR7ePAg{`Zc*S@2+l^6MrMP)r_rm$ z4a7j8WH4lqafewaS?0q|ZTzAljiVK3B46E5>^#vdtw|@1jFv@awPq8jR;1gWD``7X#orO_r-1DbHBgyjwvoLDeoPN>_9+9~@ zUM2?$XD%kHWOD+gFp37RlZ6%t?N)anO>UOMQazfYrR+7Z&}(AKUaw9U?a2=-JrOyU zpQsfrYK{h#RzQ*tRV+BCQBH7b=W0%~skvJwt0y6PgyZB#tbW6@*?MJT zk#shT$U-ncJ3xw$(|9P0dtWxcZ_ z2`#ZEoML3JqG{wu4l@fHKt*n}a*^5Z%#YYz1kqbq+A+N@FguEXK3IS1?jZ)gIjb9-7S7#g&T!pUmlG9@v^XrMWL+0If~p8 ztL4)QO68VI=O^V$pKo#7tdZ1WOO;Uy+E!d4qEKlJiCZIWv%Cz^uWF@?0W8AXyEs2m zSWE`vn7^G0ePQ{TE$zf56h!cqEY3S4i@P^n^^E&^&7V-~l1h$xLTO@=HSxpxI^r_$G{Cn$H2x3 zs{{KJn3D%yM5v5GpXNup$0sLydr(xquv%~_Pexj?p6BH@Oh-iv?k=E3*;90jlqZI4 z7j%z+G;h_bMJmR+OgTTvKEr(Xh(Iq`Bb}8*HyxA+1=op2m(ZP7g29QnY-p{$tE?=j z5wKH=iy|AG@O>f+_%c^n?mnAdbpyj)iWH7n9y^8hBtlNz*@ey9To8#ht8pn<=+*#VoE)#S zOIOZ^u@GRj5>2418zkL1EV__jb3KlwAT>D@9u23Xf|3mXY&L{a)tR6i8*}J?ZW!4E zDG%L@7`Z$>IZ~{4=fGxfmdP?b;bbV}SP_7@%Jg)PRVs@^K!saCbu%|5vYOd+ktI=4 z7Fx;_e1a zql<}8%XwJFIpraeyE%o#=g@#bUa8XLr&g>ISM~hJM9~?qIkbd3!EfP$39HVm6y4c~ zxLibBj}nmj8$sI}5w|KLu1{erkw?(>N5l<8#0@I!H1rw8)%VER~`&3(etP;V9pcT_n^f{`Rzh~ z&@(KRDJvf7yR!x%dV44#*O}4l(HkR6pt6=3Sfd6?AiQ@Hr8^6SSSxOTDaPA{sPN%s zQP}FQr8y?^n?{Og-QC%c=edyQy1fAx(g>mJRr#vFmi4CdSNbh7HWwM|D^VnMFEnM1 z_SsRppe43CExlm#9WV3LXr?pauJc%0os+Odhb16fuuY0Fadf;krOnh_zj~DGM}1{y zdSJ#5I&`ho1Ge){)24+B$4Bd>VMozmrEwLgbN$v7+e0o%G<9!JQ|jIx42aZTx>49c z%bM|^Ya&d3)lIJ7G7&E*42tNJXP&x60J&skQkILZ!LZrOU;y5il> zU^AO@vOP|=mj`l8l7{J_mc2Xw;C_WY)ZKDzQ@Vb5S&Zg9a&_?VCaLAD$dYM5Cc}<7 z?K-syXz&Cqx}ZaeFlF&AA4Nd%gA2q=4YrzZz(j9PN=q&}cpbSvInC-ymqYr+Cdijw zg@b};A}trio0{qdO-FePXO3-^CkZ6h<%F|RmSs&_5L6(TD#B4i`vW{47;E0IiR20% zR4$C)Bg_&AB1}~D0+aT1C`%jLRy>A^w`5nY z>^;kgrdhRe<-l1`;rxokuro!Q(uT=A7n{y#?S8Cot#^xfD%$}ju6Qa6WpN}T+|ql&?KQm`hp9Amp522)&MvKGIEg9Rz+*p!Oy9XeqvEZBPXJ2B_}2mIaw=C z(4BZy#JgTBUV5-rcmhb>HxF2yTJvH}&db&uU$f@;ih#CFm?Yvx@L9vinR9xaUaKjI z4Mz-#t((-|`IP}{012QGOtwbF-5WVOy^doQI}mt=cDNZUb(T#b-q{hQW#%+f=y`Zy z$6}6NC7>PXby*|hWg7a4tb<47GEF)w&Su_2x}|doJ=O!2N;WoKF7W#SwT(sEp;sx3 z*&wAGZjn9*O9tM)mCjVPGEvzuU2HLB59?>Audf^ZCQ}@lYB6Ci3{%G$9O_=3agLyL z&Z_QeZ%;R^d$x$M$}MzpYCKM%`|P}HQ%;}AyXDgNcX8?aM!TusVslyRj1OS#!Ep+` z-NJ<$P|NbsNWQ8oekCRHRlN2t`C35DZ33}V1~i7)82)Av8zQ2C#KsC}DzPyl8cl4h zpym@B#M_W8N4?SwQB5Sr?kQ?z!|{^_G?Mrr{#FtnBchqa2McH?@j)UQN_?=OmJ%Pu z+f?=@Z89cC7TVH7gQ?at(Ylw7SlWo`YL^!21DZ>VDgAAv#e@+JqeX;(*3e?gh$he? zLQuW8n6#D3-iybe@p7SBgtN#gOZ&nydz22*ql>Ek480DJ8L5nJa@#@NgaHjAE`+~j z#6^f`B5|Qs!9(Xbo8zYI!=z*hOf|gT!T)l@6BV-X<0AF1;1W1kxXE_crboyz=~nQH zWn`forSlkE9v6NkDq8UGR_ciCwb7Z9Lyw%88>Ywc?iNk*HZ(I1 zwfq872K3w5x%)eAY>0@S8yib?-Pj4k`)+KgSe>`9JT|`Sy|EKUbl=!m0sS}7l!7oD|wE5?$BhoP?_4~7nj@GeRvowLM3qcgN{isRBBCtn~hl9xHi7Cy#}rI(V!^;hj4cOg}cTI`nUl_6AYK z@-9-xHyYClj~xB8@I=JQVgN2fWU(si4)_^rV6jjJ<@g>e(NOr{?(riE2Ul40%w_jt z9?YRV9zZVKr4tXRCty&IhtT^X=0!Vrkh*vIrf~!d${^w?SGWE<}W$hzk{@DdJ)z(iJ1kOou345pA{c4BwDB?fzpYAttD? zQwHdZ*cg6|5gQ^xXT-)*S|fJCaJ>;5Dz@gJm4@D)*x3wV&j@tKAnlfr{sasSupnI$AH}OpusBPW$7G&XqK&DCt3R+$#xyEM z>68Sj;$CN!;aMOA`P}1>2!sro%>=0=<-V}q@$#831?=1P)?#-SE2>~@I7#XN&6EVj zubh&gMChj^a7slbNy~ogB`j7wA(8ILByjy$XMhS><~FS5Nr065B1zgoG;$wL!ULHE zFTjh@Lpg*`#e*AglqWI~UXU*%5s=rNkqD$0n-4{;4<`aJ7GKnsghV)fdvY5zHYg;5 z>9^;X=5~cdc(O%rPLy~MPU0m}y1JwGh;@TRh(qWJ=&7x`DcGh+l2uO@^I>F_?ZuqL z>kFY5PBll#GvRk#9A`_S67mra0pb-pBN1fpUd*)V-$;bB%5H|{@nh4M60%}z?5e~mmNHUWf z`dU{_A$<16R!t#5tD;ns@SRK5w2a0hoVi|K-YGI?k3F5@Idim=l`854Y8&CUo~H@>DwZ#B};=l-f-z3!@J!O`H~VgmO+Riy(cS7Ivfx z$7zcWon}qeWp}Y4edB5!y|;58j-ClxLXSIk)47$&%}pdWYFKIMBxUfchH{6cfn>9fb!q4^jmX2*Cs1}fwA#GN~b zl*`lJ+c8kNT&q$rP#LH%%0O+R-Q4I+e&x4HD!)xZ%FlZH(C2k$8P>!{PH>R1o^sdd zup6#ty)M`;P=Hcw7t*gF+eP#=9@GEVF0@jd?c#(h(RPs|6>7WSO_Xc9@Pi?`TTb8G zg&zvj<7=DSosr!umyJ;BZG$WSXxl(+SOzQmwy^`8rfp+JI8fWh4sxcp4I1fK#fz<$ z>Fath^iI;O^krx(!&#x+tpm;5sH^)&>2oajBulwa9CfYWnV@4|Wo!n>Ozbw`k{jAeiOK^EOoy;_60&L=>YxL%@9FIu`i6m+lZc~8 zTTNdUZQAu!HaxABQz3jbX8okibqZ(voTR-enN z-3hI29!Qu=PSw_yga84v_MTy}y(uALuiVm*FgZ`lgWU)T5&QgJ7pH|@PVQo)Y!wta2wqu?x4|DUhdG*77XtZ=Nc5F zMq6NN>}U%lVgzXmH((rT3ol|cX$v=KOlb=+a%6Fr9Rv4V>_pvROQ+?FlOIm6mGYzX zNmn{vpT5kD?>dl&1iN`_*chi?4|it|$sq#9jpShdQ6o84#F&vBRE-$P5yHod1a5># zA4>8vhOOnveHaM}8s+b>9F4J>Z;lUNrzvzB5Zp|HE#fv%jkjvl7PB_cB91w012yRA zvo_$o$DvtoCN$Aw%5kEW9k9tPaG!-BR4x;cyDpQ7$_Raag+2$BAEl4T(pCyry)`2Y zP-4xJ_*GT26cGxkSyH8Tn$d+Tmu4v=HA%C?VXjxHFPbGERMYIu%_xUL-5-ZGX$rY4 zP68I53D52_xkI5Tf@{(>C5@Q(H%;675?RoIO=e9K%Z9S0DWP}+)pH)6urZsa?e|al zo2D5Ef1#>r+QEQneA7fjQ4{vG)zLm&Wr2HNtpli~RW>nxLD8$Cn$QJks3s}=3aUwh z2>sL~rBY8#$ilT#lZ27VsY%)eiRl0jiGs=L8qw<9|OWL=;6wxZrCf;TV`y+H|v$X16_-1qwC-*f= z8t{sHlO#P6$MQ8x8ua3N(=;9}3VF&iw&+v}V@|qRzH7=lkY9G`mFruZJCO+*SW~Mm zLXCzNlxDbejDLu!XLdJ97@$a-Vf!^{vlJ1kv{_Q6OPkS!E7N8vn`p#l$z7G$j51s& zTBCPRB{flsP185kicJxs)ne1+u3l_P8lf1QrVZ7MO;alM)|4Z;a@GHsnfYy zB#$_g>xkA`R?taYttO2)f2&o9pi{S6P3t{t3ma*q1)`7V+l38`&3cm!&to&=W0|#U zI``!`$H5919^uvV)tb`Wjsu4k%oI?1_U5$ka@R;TU#8Cyv47pOESo5GK<7?`ExaEzg*0>|0fQp9J;^1Sg$*7I*Y6(|tcsF}u;Le~nFaidmHqiXTQ5#?pgHju)YG`T$ zB7A^q1JoU++5quv32FmLA2t#&PPsc)}HK11$SPmG7&Axm#>k~y`o9Vx80#2>xd z$t-#dYX{jK$=X3-!`rRM;dZdpP}UAc#DLZgY{0PA4qU|G)(&jY5Z4Y~D+8UHRpB%0 z7_K(UQx0&=r@)9e8}`+vm^q%QL|Z&FsTQ{15;is|mFerP{w=Nv(+3P<36cB*SVEwP z!7Cx68n_ZB41AK~;*3K_5#kPs?ri6ALt(8@tlEbqbIL9<9TxMB?rEt&^Z_iJV9 zShZ}Y8c4mP?>y67nXVT?o+RtDJ+knv9=#08S_l!FF z){{JQb9&asz=s16$E z*z-62Qpk2yT$3h+Jp0^Q-;x4cfK8zcofOdZ_s#;2Ld?&kkb&N0l7=h-)=!mZzWt*1 zsDo!xfQmSYAqDh+gBeml^^A_@Te~Ts2OZmx0&KgdI2hjkz@=ni-Kp0U;y6F?#dono z1O}M9t*s4=07I({G{1$_2AHSun5R9ofvRk(HXy={sWw1eE2<5UaPz4R(D1i=+W;MM zN3_jxe3hOqkjpOVFpL0N0x0NJ>($nZcsrTo;tu)kWM;Wc)B?6`qR9a&!J>v&e5Tu^ zokAN%QShm7%ZejYM`dU9;r8uh*5@wzwDNI~cCymFa!WfX?Dr3>!dMF@-pW%u#o8%a zfccY?KCd=ldjdWs-40yDHnVnMgSMWvgXi6jX1(R8oH_v~fT%}mI{@uW3)%)?8xHG8 z@ip-%lb?BXygptj)5+1mu4Oz;NFN`a23UY8kp`^arbq)d!njBSURfDwV1=6-X`pKj zw>b^8a04U_^l(cg4Rq5aIX;EX)w*qxN^Y@@lvHxEK3KMCoLpY@&3~!mXlo@U^1coDN>NWt0wnxNVdU zzOmD?l}Yyrsr1QQ_8@IuDC5E~CQ{18J-Z_%z=#t*Qp!P{)|L`nz|I%t1xP7}fD_zO zLXJ4gEu|cSPIXHOI`VwCUAXN>o*CL~t-%^e1)f@XXkmocd!dEfAj*M|3R=LJnF_9d z$V>$`V#G`ZUk#Y4fQ65jsldC#Wh!vtqh%`a;e%x=@TMoBh0(WpH*4LMkWO~7eF^Dg z8++*^oor2aLOO`C{R!!08`GhXPNw~lCR#dq4CrmN>EH$IU{#ww(#b%5_&60bv8B~s zKT_sdIvE%PuR4)39bmSE`CtUvw0cC*+yIhJ201mkr&^n{r0Eh<&{& zXVs%7u+V>-QwHxnQY&J>XCrnGrJVCB^9`)lUW`h)1bzSGCFpl7=_~hMUhF=R%Y`X( zJzzGcEh&Q!nj&p&7gWk6Fus`aKhdaqvf>CdbSB496>9iv(`C0O=k6g)xh{JGJh*Cy ze9BDp#@o!6a_+12#42UrzTK&J%f39EGIsyYtU1!I^MJK%HOoqwfx*3)fy14Zj+$#^C;wtoZSBv%OY8gsgp7OT2v#pt!s0U~(o{5Fd!PJD# z`_5z>M(rhNG4l)Cj+ZiSz_csn9Q^aHlrxB!c%__^nt7!R8$R_)IU{%Ol`^n7`AQi& zeD;-cM&|VE?@5g`*+8p3CM|T{i1}CAIYmvt($35B$+xodpLPLyk7n%;CEc1)n|1+K z;gDd?odRXm+S8P@vozoLOdC7m<w6pLS1m?;@+F1p?XPR~%NnbiumTAZdATQRciw(|@B?blJHE&y3NNHhU)N2Xp zzy%ny=>Yp}*mQ6`E!BLFHJuEU#hMOUxS^U3zH6VRgBQM4Bpv*4+cX_~(nM-3ar2W zSIQYh8DS}B726m~Ise!`z?Ab}rB3368_;zDCS2NXuJ?1M12AP?BK&_T=M>ZZmvZi| z_b+8&%lVfsw)Xv{3>)G4OF5?i&tJ+pc*cIy@t1N=L4LoKvxs#2iEVa#`0uc@EMKkW zH_>Nd>Q3)+`RTv`vQ?X`V2KUCoHj#9I6Hr`IO!f8mmDI%h)fRVHyD#+c^Zi6Z%YoU z48i0G;YMF_O#S7-WmD6&Ql^}_s93GgM4 zw+s1Osj3!Ncuxp)6&Rn~9Em#g5`{WOXKmM}N9eqvDe~SNQOwU^Piknh$Yop6LfKV9 zCecv2ow*QOqNxIQma1Kb(FuF~n+Bs3u-iF!4`6h{zJM)#(JA_0#H{NbdYCTB9eUK4 zMYq-V%X0cykdV5sYa2A`BL{i;g?P{wtZyJp6w4dxC1*r-|HVP|ENC(n`m`&|Csz%$ zL>-_GT1w|v3oRv!P!BDoS8Adq;&64*Qu6*N4bT$8K#toCHP8{E3C60GNk^#x{S8o$ z8fXc6Xh|S6DFYAM;jOfpoOZMf`n5}I2t86Yv_{SCAoz99)&N%dRYhwF`7>(4Dx)=k z{s7g{TFL>B3b8i%3iU2c1A8VUJTrzS!3>F%htv+rI5?_>n$A?pnOZ$x*yyT>c*z3v zMLYn%;)s_%LW9HuQmQ0gs&JhW52qi!97aO)v?dh)+93dGwFM3i zOi8Y)dc|wDwMHJGhg(bN*T1c$i_p8R0VsXj8g;myZ7qGI@zxr|0On&*(ZOFjY7Hbp zhZbg9gBa4~7;?Y3RG4vk#f%Mxpl|Z$YhJk=KK=x50%oOTG#=$pYhS%ud(?X9$ttft zZZWIg(5Y%+rbUQVp%D32A^LV^hPSksW5Ak`nzXivFyJ>yTTIDkwFKLwEg}pB7^STx z?a97~<)kOJmR0KNJ5p+<#tT%R?v%HgcI=V5G22Sx72kND7_S$r`3cu>O^`M~YbHSP ztIz}>5&AR%no_O_l7?&A1YnVBHvwJ~9h?Ahu)m2O)GDyxReuR`i}KpRByOs>?Y6Hy z{YlbBxcHO61^D)pzC{gG7v#-Pf)nY+7sr7~qtd%>>CV>KhJ=wQ=j&`k_9U_) z15IM@ARjQfkC`q&KgLAx>&BP>p7vlaAjCvcIx%LlaD5mPsKqIMOw^`x{FrzV25NpL zCYEcd+TRB45teGEC55LwRJrV{!&No43o=>rUXxXSe#vLM#?3s+BN`X0CyqBEF1R`; zIc~auE&IygjSCfU@^M^Q1FHsyb}+(6igr+295&j?qUjjY4tV5%(hl%Ygyqh|fmZ%L%YC?wE*9xl zZVNb;lew*&l*_p-5blY=Yj$nn2Dqc!!i#WDw}l(zs%{JL|D@x3fK4e(St?^=!n3`M zu4=`)-fUE;OioSLi?ow~A8RkR>jP@$)uEKJ{5sRM@$v?lUtGVAl{R1mYi+V_q4)=` z1RxRPRRT0MOeIJfJ~|};OTn*Wi8>X(j)fR8>=b4aaO}=y0=@37`4p@Y=CW#ISpQJ> zLr2e_b(D8f#KJPgI5fazzqg0A0)vUN^dPeln4VBxzWUC+5f|1 zSZlVb*~J4KaP5EujB4$``G>c5&?3gUc3{R{V}? z=XYBJyoh}OZQ%y(4rm9^w`Tyy@_RSK(;F<{t+Y% z)QB-94R|%mq=6Ma?xcYp_TFh4*aIOmyEL!|TbecuicKA9WT-wRczg=|E|)!!P=XzH zso)!ZQGMAW72JsL2&RG`^clfafFr*m=uyVllk7Oq&7l@NS^9|#_@zOOhvp7=GnP$v zy|GAdnrJ=;Y#k#^{k4t|rsP^jh_tF(hZ#~U zHvunCj7%LBxbc9kTWC_BB@x&tBeoe7W@5m!w5cE~m3ItWwS^!?5^lS}nm7hZ!1jO` zsUtQ6#6SsJ`j3%3XraGl$Ao3uB2%G11K-Cm+$#Ssz09^Us6q3~AkecuGq~p3!Ld^Y z=-k*Ce$^WrB0>Yl#!`wncEWId92+XqKN1_Q$>K$9yhw!|8?DJAexO?=sxilXi>^0l zg*|?*Q4WvzXi@%-_-M-A5kF;wwU6yFMDc>+1ih|2IZ0n$8+H~EMJIpVhm7u;Q?AsVYOyepAD<-p z{20Ab=aA!mDPWg&f_O77Gi!0VrP=)nM5KIcPN|q5B{bwPUUtSR)k$Y5L9Z_7CyQ&H zw~Uu7)Aia}&Ra5T9lD~OW^}W8sgud5-1j!o#>+(EEIo*xEp-a{GGIrFK+Y2b8^&u? z@}LB~6J>I`=BJiC?ulF~6{jp-%h}wCFXUvVE+z97RzPh0sT;PRLO1iwI1kCSn z79uQL9~Jdlf?&It;C7zbXw(9zSv^LLJwBskp6gN?&B7 zX^2ee=@dk!ppGPw!OK}IRi-CKol^dSqBMzMNYJtrjqyui%}A?+$UE5DLa@a(!ItBG z8BPhc%)E`ovU6dHf+;fUXb(N(4J$AtpBYAzq8E>?Qw{Zc74m1B2Ke^*kv0toBOnmH z8z6Xdhs@IQj9752;Z>V=^S53zjYyzUa&O7oUa^Q|C}gW;0(RlqqD|$DV zYJPkIZ!Z)|`D&i5?`qBE$C{@`CQ%%%1?$ShM7|2LbMl9UlaUOlc^4{G?iu+d*Z>-WmIi%Qc}3Z;}f-S1{I#@lh$1e5CSjUND)HkXB4`(YE#{=Euvj z0cowJnlK7ND`~Be3YsoTP@J5qZ_@4buzl&#ba`VL{X{oj*+rlbC-W0F9t54R^b}gGq%bjDnvlK*pMt)&a zfwT}|dPr)W&>58riq)}+%7w~s9xsnoGE{TbO_{ag1V;u!s~PUxg+hD;3Hxo-XOWDL zBB6l%g;G#&?$o#XLZwIi7T%1uS#C+&ho1Njmq$7hkFykxAIuPxq8xSj@aQ}#c0-r4 zr*mSQW*3%cv~zb#txBtlvKKuZ=E8Q5j@PDodpgl%h7E2sw>k}ctW|O;L;KPQS1y!> z9pE??j+q@~H;e}=6F_)RWJT8w`X~mPme^O+nJ^jZDd0?9h<`bYMSTRola+cbzsAwI z8zm42EJGIDEWkG!pZk)u)Io@_kN8F!KJ6dU;7Oc=E`6Gu?$;2HH#<8g=%Ro*VtHxZ zsgrM!cF7#RuXxccSTWw;PtK0Nq9O z%;8QL3~JOP_dD-2#XATNGzr>_TVUNw@kpds>IPAq1vhii-O(18ap`zyke7Jitl;nn zmkDmS5mDfse8bi_g-%ThO?{n0kBAo0VML_IqvGUvJwxBJn4v?-X`Gp=j?)9uW=Dn~ z>9h0o%U>u59Rn3q9Ef0adUA48OXafW)M4e)RwCA=f>`CxaimtJmI}U%iV`N!T5*C_ z23@)Sf2i0|^7a-x)04E|mYJm+q^?Hv?Ny}lmFBVP~lpwVQ~>>j)t9NTT3R zr;v+R-tu-T`Y;UED>WqDqi7jA6q^2E;-1ZQ;~`me_|A*xSbz{@bYhVx44giX2JyS# z>Yd7fPg3wUHrb+8hRt{&e96O7WYY^Cbk_~YgTH)!jy&=C z6V8)PQg4>0VocSOk(o7iC@wUE>VRM&)&cpABuN&SZLnx{3u%@!H8a7c%o%N^7nXt$ zuZnKo6q{wFlBV;ITDcu{Wb2)fi7$1~9B1^q&PVglN3*;tR3 zoE~$R1Fd(J7Z~q=wFGPl4OB0_w;6c#P|GF_D!FIKrU4gLq97s@ z1j?#sM1z=7=*v|4J}FfN>&qg9j%83DMUUG;@(L$XZ={q9hcA z*F)2U@~C_uWw=U~kRnVFe=G*lN)^wuqumwxQZ5xpJcm}!4yorDVkL~XGm=AE)BgX!ajTK`Za%aWl-H*!iMxWS4tjED9(y}d&9L+ldS-SiNE zHRP{dgXva~Wn!g673p+qutI|*hIwdFqmgUs!`85igUC&b)S~y5YPBwv*SN9L`DC!x zktOrkFIFmxm(_|F!@RSoEne1YUQD|-oPM>YH;q_}-YU&nRhltvEUmSup|)7E7+}=W z4?mC<3}t0mYS{V^)G9zE(?E=7nzd^jnaFFcIz}ptpeofGI6=HE!k`6{iuN$we603h zPT9?Py8jMt4&etl=y=}orWAdt>(dM2O-p}HMP|W3~I9+YgIYS zHek>~58@VjN3{SW+SeymgD{{4_&VL%HE2|z^j5poM)icq=>1kFIV^Ar>dS7m&dyt_ zJ{Hz07CcpHm1{L}Kd)i)6JXF>A`oX06J`s2<%bq0?oZc$;HbHFz6) zcrBQXB&ZV11{z!k-n_!Az+3vT8ZgTgQ~_q0g5_^g1dHFyBS`wHLm0xxBl0-0k1yyi ktSqt{6&c?O-!Z89R#-v$R+v8eR@giGc61z>OOMX~0{{-s9RL6T literal 197345 zcmb@v2Y6LQ*ZzG12?-Dgz4xZ{UIIo49Uj1h5|A!}Y!&GNL0agCLlBXsfT*CTpeOw-|Gk)1M$c6txz_Yoyet~X5t^!$HnegB4 zFM?e7FN2pS!NC4;N$L5=_M~9%Pt@N(ZhI2S;b)llXD08@GTxt^ouBLJhs$fLgZpw! zY}ttpR0SnJjdd6FUd} zD`7`CrK#Fz=V=n==@uS&GCr?09knnh_UM$9l!P5HpIq6~I$Nxx_7&B_FgRjc5mQ2n zlG?>_$G+Vm$mJ;vMY}{jitFeZ9mZYp#D^#BLdVw8f374X>|NyH(YdCDPAkHF9Gagz z%N^aUX|g>nl$H2UwwD~jHF|WX{-gWW2XMY3HD`Y)9$9lBVs>KetgK=Twp;t9tWTGY zL4+4E_JwEYzEd_^Ig%^EZauY|7ouZ#txwsOQZ_=%#zJ)LPV*@{QOaIq6@zU})w11v z%C48PKWW*mTDF=`+51w~qh+6I*$h5q;T5~)J|Al#((SyYI1}#)+D(hZ<#KP=`HMlc zxI=2uXsoY>nROzU;>VpnJ&{JiT{sr)f7+!n`84(MVV=?9o~)4(JbCfiQ{ux@;=}AL z(rS;AnD zR4Y%TUyBlZgic9ah|csqc%oDN2IO~8wzZZW1d-aLu-NBQ_A|&|p=@z2yAq;fcd<{| z!;nv+>^+^5KOs7HhiF->d+(t9B4tzJvw5wykdi#kR`v>HBp)UAcJX36?;Tjy&BGE~ zg@x}%Bd*;IFmSGfEr{yKJwr0=a=98L-**-(YET7l88+=0X&q*@p?QwAQ=Xa)!4m?- z9nzB0gsZUTZXTv)oFyIwirJ3vbPS72?3y>cU1HaW@OE}Z+7q3Xv$fc)~yvVF+)wRLVk?qlaO~dd~R}+HWB*Yn;3*9Zoou&HF+2L^)eOK zBjlHBJ_SwCV9_?Q^x=Q)MOHKsS&>Za;T6qZ)~CF0R0P|d#ga7xh3jd1vr`qWD22UY zPLbiP#tz|$gM&Tk62mjlwKuUxxF<9*S9(vg;KY~GM;;hbgl91F#E^2dsh+`=H}a&j zJ?E#(?jKdR(1jNv#{n=j@UnXVe!P{1oJ-^>4Wp9Q8RJ}xJ@0`TjO|uPB~$h_Et?Ud zGjMPFl&vmhYiij@EnDBG?CVlCqn7Ol(Fv=ZT9&spI#;z0ONQj6<)kK#p(7QD+l*3%@L2U~e?Y-01^Snn>7nF=KAplh~Eub6bY;+gXv zvEs9NTIZq}&O(!$V_K1^MH|p%@^3vd9fL;{i7q?w9{k4Kt;dk*6+dA##IPYby{-0^ zg30ChfZ96Dlil-b7~#aO`LWAqr(M1o_ZruRpdcB(FEJiHdHM11*=U``**a@CAx8Hi z7eKJb#&L_zS&T~;RuiF>jfq$_5ia6ODrSe+sIiaTXaC4l@j`J<8yOPYXP{##nxm6S zWN3T==fpuv=(R5 zs);y8y^MtD#B2|7&l?4f*IF5(Mnwhu%&8)Jv1ZT1&lA?)oWvGA04 zKQ=pE#6#NFY&HACT{D<^N#c{;XHr}bF%zY*T$Q|My*9x#=v9wkAF7vibirwjvxYmX zF1p?;aE-x?c)n@6y3)-u_BEGAGbr_;E z2WRdC^vaoog=#jW3Kiz4JjDAr4^}o2eU65CAv$v~F>SL=)NE_9Fhb3~D&k%lRI8_m zYgFtD(dk0IwA(txi;72PzF5ejZ7mVexeeideIsHEZR-bZYsKv}hwB|}>w;JaRSQ?t z!dqg&Dpu8u>{*?#QV^Yv)t90H5%;YW*NDp@g-yi8(54#x;ACRskZ|nsLA#?d7%t}= z>uFpnIH3;m@tHkcONDv2Lr<$xVbSj9!Jc9`bn#?z;y!1gGF|v3iel{Iq;#@+!eQ*N zDFcJ;>9@@II9n~B-Eed(MFpHgmNf+xDC^>G+OJ9#vkRd2sMCqs@@j#5^kO!LDNC*+ z_fQ!U8)pcQ@iYx~el`nBY#d7YQ2t>@aur-mffiT({vWQb6jJuMp^&pIf7du$ zZRR4zPWQK&3F|b}J4o1awA?FfcMPoL1*A6U?R zqi`(g}?OZ_xXK0FPJ2ZuXJ492e?d(Cxbz^9YpeJ%ziT~pkv4FR6 zpDjYSQhusO2F3(?^6*lAIlSy+|0k7r!owSj90zk%CujNanJpPZi{AMjKAlmn!Yh?W zu}lO7LNU$PhXEGbvuhkJ$U8Mdcv@L(f|7lZ@bc)Uw*!u_?jqsE-MQO&}rHpD^}<_2BE(Q{JaEG7nRI)p#klJ zX7lEWeI>ZwE8(LGceKm$q@$OK&1exH=f#6;)n90#HVL2FI3in0v)~@)b^@2kFuvia zVkgN8cdn4mxP2%loTWTL^N2zxK8NT$aJ+ubc^>R#7=r^c5IcDqeNOt-)gG^nlA1q? zS}bBgo7bQ8^vD7Ll#l*m9Wv-&7g#*ggRql3jpLv}PTJ?51B2>glNTF%$HR9}^IhN* z4_Dxv-Q?-#O1)5MqIjQOy?5-7ReNlIfaX17Kc|kyT!`4soEyF>_8Whhjn+B=hQQ`s z4@amL0=GwHBrrAuoxtgden>~8G=cOOGkmKZTsngC_9<$HpN*A}a3JikjfOeT!x2Bc zp*g)+cTIK#Jo<3NMe23In&eq+Bs{yBIR<48eSPR)+Id?}*WyE@VXp}D@u8;rz#~~& z^XwDPRHFLC`NnLCXDSNrbrT=^@<~B_j9pg<9ebTPj+5E>vV&1bctnY7R2lN}sO^l^ z2Q+*h|OT5_&E&Xd=$=;TX$zu|qa zMKPVUgIsVP0ENJ>*cf_U<9(gMe(r^fSSK;|iUy&ZkxRCGIp=wzYEd#lXrD zwbE!gMK&KR4{?hcrk1};Rk=Z0m3@vy&9w5Mz{+ft6ZADvTO6pBUJ9&~l$chFFOr@JXC(IK3!0Qcz{5ER8>m?3%-`b$|3#OzKW0&5&bObfD)(`9Yz z#o(ar^w=}u8P#wNP50o8`=q%KLl~B=oklS9)JUpC+``rrV}Eu&aCCd7Rk@^A?u*JT z>3u zc<&!$f19duHG-+uZDp+-iOLisbYCzquyQQTX2K&(+Ni<_k8poJrcmh~^dsTX8Z?N(hBYof)z);8z(6obol5iPb$t@W2;7CLA@)NwkarJ75r?G}!E_F*k{OWQ22 z#W?Us6_4UkD-ebLjLlFHo!=e>cSdEX$cG0jERy=qr@OI#p!;D2W2^$X=&s`%Ub5IO zJH=ReptPi8wo}B(|H|he-ca?^h}vSu_r`{nl2wG-!T~;$+}L>!`H%EgrmVNj6_HZn zbD`2ldIO8LAZ_z06K>a6XE2|*zL%w=Gp-0$CGtL{W-augJO=~1X*aHs#`}8Sa)R93 z(su~27aKez%$?o)PQ9{>REOWqFa-7x2QUloDBS0HeZ<;d^R%NmD+UGtW9;E<0Xv$t z7;0AfS4vg8r^w#xnB{8_=fzll1m>NCGpI@;87w0;tqgU&&5*$ekc!&OjwK^$D@yk>C6-JmY8=v&ph{#6aHR+a_FR)GqWF|Jl8~} z-dd@>wzMFy5^nDCFg1^7Sg`w6*+cZnBcwR^@5}BUfId13mg?Em3=$&Kw zX_@fOu~9?%#tEgpS9{;H*<@-p;HY6Gk``-E(#e~xuDJqTOIneJg&)~MBen1|EWm{r zd((-45lK3khJ|x%AxJI!r4~k|vJe)Px))hUi?ugvbmStz>qFf@3#@ZOmz`Q%bCTAK z(weTonn_&<29DrsY@v}_=%W@k9S`WgU)xi;W=5?!9W|W*oETU$X$`@^wlT`F_U@;$ z^ww+J4FfGWPmS=HKDazRGNNrHa_`tOo?70Q*Ytp|>KXd3G7G)FE=sRZ!=v#b052eU zcj|M$`Fk4fTp}&j{y-=4D%^28^y6;JR2JH#VW9(C z$f6dysD;X@EF`93VK!UXr_-`PE!;R3*o)n1SUA8I+-l)BSa6oa@>CWcrD4HUALGzW zEo30UYvIj63*P5}^l&{Z?HT+qFw9dl^7fEykw-`8!+jn->kDrboqXM0)aOxO1(@V3 z!jDHy0Qp`Ka0caF_YkiG>^4a)s7^o-#yW+D>WIg{u!V%H=aBmeJv`v~rLVvI@bb3L z!^=XA_Q`+D&^nuUS$9~%ix|q7c5Q>U#wKjxf7`wI z;FXQ^PG-lpK0$*#KRJ&WBZ2dSm~ytV3vDfYt8S0v=m;Y{X-gV?ZELsEe(t6MG4>>> zVI4q|&U#JHZTegRV`7~X-)OvOh_hQzW6t%S_LD^V2*Vx9`ZBuF_1EcjL3ig+ClfS= z7b3dO&O1FgZ(T$495Y|anJ?BVhGMJ^W7px6bq7UpAK(c}umNN2*u!R8tZWU4Vl?T) zErY8GHdkl1d6#=1=!$QvE^V~}*-aS~Ot%V`4w=R@s5{bU_?`~gJfm~9<+*>0ta?w) zp>1Ph?6>8URX2@^_n=E-lGKdM`m8FSG5M<_cb_UdM-KGKdIh~hV0a?R?}@cv6<4fx zLC_b^E5Sf73o?Bs<_#FHX>H7|#U14)7Q+zA!cau4eeYmEcawJhFMPht`xhJBA7F54 zph4cm_$6|%rwBcwiaa$si*vH2Pq*nqyT9o?i>2Z7@VgFgTRe4lVV>u+pPX)e0%xJd z{J$uP!SjAR7HsDiSG?!@a~%3?w_vofn=385jn!^(l*EXM-K})A^!|bXO>#`EbF8D! zmx@r|N;`dvDn>Pi=5{_{%F3@RhK5GrMJW1wg8S^-T(r>BV zrDFG!wCwtf`f`+{26fc`6KFSw+C3(Ao2F&=x?XNir}Fpiuj222nazC8Y-V?6GZW7y z#xnJ64(H{`Lz%9j3AE*WZcB4EGop~+Y38Y#Oq)_%HAdY$xU+EpiN)d9X$w`o-xuvo8Wa4TX$ zf2uPrU&6m=eJK6J1L2|B68_DIpJ56AIt!pd^3g7Q)0VWzziD#V=RwgIzOA z#Xs#osI+svFT1BA9~Yv7_0nbqXQhXT@AI1EINyK|KLa1(|36KPYplN2^rIc^}owwj@_X|=Vsngb$6SXzw`Lr$w1wAH34?APjrJ;p`9 zR+sRKWKZ9bR!_(Q&KjAj)sMuG)9Os#hShzmC z*gKp?%4;K6PyzdmG!h!v2sRey#nBeL9Li0vhDuO{p_!w)(hqu%8J%oLyZ89q9_DJ| zw>Bus*6?8RzE+$dA5*X_KHeQLj!6wu)g7UA+n_F9rl4;3RCULssvD(sJ-&5Iq^i3i zRb8KF5<5_rn&oz{>Ax+G!Mw_Ny6>P3j@>W$%D{u=YU*uRrpJ=jox(ng zqY4W9g=sx0>=!UkIMlh-cBsRxR?${_rfT)hPSaz{G@PQeES1N#_m+E)ye3V`-z+dTw`h#K zK#)fe@%3zn>9e;RN$pbAb+&t+s-CC|XHj=ss=5nO)orfrE=66c%5^6P)}@;-{rWDk zX*k~QE7&-guF1k8VD=doRvlu)J)%;5^l2uN0Hy1W7~Ee*YWo#=pcPG!uP_X(>*x((St_`+p3V* zmZhy!3b=EU|0R@J`N6bSC;eoa|GB=o|l=^oUz-3Nph5 zY;pF5ZDv?}Z&Kz$ds07F zVEyr0pNE87Ij%>~aj5#e)IX-@b;qHGTK@sH>WFi-{#sQ1Q|iwSte;Nn-Nn#n{~AqAKbl9F~a$zhcz_zwh0#c^1WV$ zQ4zbb%eZzogZHlFm*n||_m-_BsqtBzFJb9M-rY1fIUnp?zH7Q{YNYYxV+CHTRCea<+WLS#^UKy2!8AqDDN*>w zhu;}_e+k&UbWPfjRtpq@<|?s6-J2>cB>kCIt*Kh;iu%=7YI)Zbo+$FC+THL}mR^${ z9$l(kM|-S3?k+~ocC_!ysdsBKeOY-@4-|ZyIl}9N!-kJg+cb~GY%NE^MP zvuv1arfW^(_gb%Ft|ZvRudFWl*$m@$=h*a?+U0gSYo2f0YS15OaoQ=y{nvJeYC9#- zPIDye`#iqZqolWy5H-q;+~eqXT=egZ^K0zdNQ5@h7WM~ABh>;M`BaT^BQrJ6Jt6l~ zoSrzlf;RFEAC}miQLw*I8rd&ref}PTUmtNma^m)G_Vo7(XuI!kV`zE1x4-(=M3{4Q zEER`K^>E(r!_ecBS!g~;S}IYHT%@D@9Y2JFBlOJ`f0?uVshKb5lF*|&KZ&Lzg)`L4 zc}=nF-0209Px_L2;eT~F&#O4r8H5S})AMMHY72cet$cC>ukwqyuU(EUpYE=dJk8d zqSie$g=w}gib&QR>5xc1f0k;!h`zUp)SZOu*gg0#?X$_8=ci;=#4C6=pUjVTcImdBgY988asT zb@uZ&#(QXZbZvb+YEO)sr|g+ zF78BY6Ao*5?K9k!I9jW2@z7Z|Y{(0A6=`d);uVO3^a#YAj=lp8%HTf4-SLLCwH+St zBotj`M*ROWn3t%&`F@56HoS^ymL2QUY+{P-OIjnH@Y^@-TlXAIrAvc@gBDlwh9k zNaQ|mje4&rpK+jIOnl}!@QRWWpU+;zhglS~_M;J!rinyT4`GA|-e0oKXTPBmZVFQ3 z3)yG*)C)^|KeT@wE|Wv?D0Lir&Bupe1kymsKkvFjM$BhEJXCG%Zerb8n}3tDv3A_Q zX0GUKATn(|z-V!Ao^gRwJnk56D?>Z)_+qQf{arxCVxU~{1^QR4jbCDZ)j3pmwkiW+ zmr5BNY@hztR881XiZuR<70*ay2s#?f5hdDR3Sh^4idOuqFS$n1`f6>D-t*eF!Sj-%|A2TJ2+N zw4bf@AZD&AiLHbk705u^bLHI|-{F`*J3e!jiTB1N5?$VF)_*%L{YDPN+8Z94UN}+p zJF8=KGrJ5wO`j((m(ow6!wK5%2dJF8J;@a*!Jd;W(d!tmNqVgJZ!YrI7lqRBImRw8 zHlDX-c)LI72z>be-2D#h%SL)s@62veHxiz+EB4pn=mU?-%{-#Irwt!#qxPgZGxKoAR1URGZdx>-&iN{DA&gYyBG|%7uSJ8ad4rGuYarfry z5Jt-9_bn=LpIOX{l4X&wjd$cY!hg;LpV9DNQ{(04{4y;bYCEqq97oNgEj<6Ss*$Bw zd*D^m3tlq(=Ply9TJ;#VOAFSwnlP*t05K@>C9F0K^QQ%UUb*1P(uqpi(cC}Y(Rx!p zh95K7b-t4DnTk>cwbX}Pif15)mRgHa&YvM=TU)sl{%K!dCbll2)Ir*8yzhB#b17`Q z_AV`zCyq+_JccYq`50%;Kh;t#QEGzP>cpkE#gSTS3`*&rHLxafDQ>a7l+w86hkx{U z4O<3#as=(Ix`l2q^YVz$?^JiRPbZt6L?>VN`5M!C=x~pmgb7`~?EN zgLNJtBx+dkgTIUN0LJ>BT(tHf;fEIQN|=e8soqEZ&Ci|?q-nm7>S*3Bh5Eja$|mol zJQ?sts;U>3KpfHMy7kVQdBVf4y7KbY81sKs#qWkseQm1n-oOdn;-5rkxImB`YhxY3 zXL+6DQq;J-tzY$p8E>mdM>1jFW5HC6JzHrLP;7nt9?QY;g1zJBG*~Nb7vF*fcCkAj z95I$&7u_6V5Ue36<@c>bgtTJqPVM6DrP6`mPE=K1ayc#@5$xyUhrFyfkf>4bDUJe% z-TRjx2eCKxVGe=B)Qnn@#qUtG2g|dD_Nwl)|@l_-NYkx{x$u?FGfr z&}ZDAE-`)f>(nM*ZGoM#GQ4WMxWZeq&$wq-FLI)kzZY|*87mGnx4##|1_cvh!eu_qF4nqYH2)^_AuRmtfqF*LuC)+qrTq)YbeWtQ@V;A(1TWaZGAGyEE zH$Dr92mUZ6jQ(18o8U3dOk@p9$biPZpQ2c^P@5kbMa$X1$#3Atd?@QXGq{+M~`W=Cunmn zS*Fi=8CD&Khkj}XzLOOP8I8x--F)P?Av?WpDsmeA$l=NA{ACvO!|VS9@qeK_dv*NJ z`+xO+hWh`N`oDUy@!xpFf5T{XX*lfJP#SUR$rG73zNYWraOanHh3L=!;TO^hI=@!q z%ahOXJzW~VpT^@uF@s@pU!cbuZV4M}3*5q~A;vBo=oaf7Qofa2Y2{>8hSeDR+$RBj z;!^?dI*u81ip=0^#XuD<-PdbTfv)1xw6v`vJpNZ!ai{L=cUC=ErF!IRjX@L_18_(U{xpUh{Q9tTa4YGBjQ%;_8gcKoI=_aRyvKc#kIFGA&Xn4J zZZ>YXcf0ek7fv&=?(>QB(OzWsAsrlxbZhsrHWftRB?rXVwY8}PREo88^4&H5?N0AB z?qT8%a^fR-nfsyBfB7TE*izD(g!5KEj1WJ~yDGyL6Ki+Xt5v+t%q_YyI5%3uQ^^qC%lq&YdR8{c4(P?qGR@v-RWm>8#X}f+|+O8yq$o0>jm~pr6poA0WH3N-e zo-l!qE7zsJ?ya$A20Mx+Qg9yg+Pb>H41>G@P20ng-KhO&N@DG4vVbsj?uxr|(f>UF z{UNT2r7)4@mzL)FbaI{CrS}p}2tf)xVIpJvlRV)cHNouHE}Oo3*y47YXP>`aF<_Cw z2^&gNW&ZzY8B^72NiLaAdbl%T1f7Q*Iqhz@ahr$Y&i^w>ayhGR1a;&%O`KhVWbfjF zXg`uiMgjk)DZ4}V<#A=g;O`FkFt;lc{*c!0kOSm3{e%8>#QQJf$E67{jK10Ltu_wW z7uTA9QaMbQU7a4iIOR+X@~N-lC+I4wTe;v@Va@Y>M;6WV?aWgi8ZM7J7AqSnHIYej zZfgoj=d8Av%E3t3Tzx+G@CM-gzY92L&t+HD!B$RM-NK4ojywFZ}()fS_}D)5Wl&O=C!PIT~+gJu(;-Vl(TET zD{S*9H<44Z^`?kbR2(3pb3o)#9wlN1EvfSn^3<$1Cw`pgQ0(Y<)Le~(T^uCwV{L$V zQ%FZ8uAW4yA>levN*Y0o7gAEm`w+JZ$*ANg#E{pFwZHXNuPDS=A-^f<4RNlJ^-8{g zcv8q`O3p#d*^3hPdWw?L5IYHZN6DKI7Yb>oWG%$2LL!y?3$fDclyEyml{AO=o{$hF zGazmik}UUre(`nwBmceRu;#g`t(xcf`a$!DV4LIX@?wdFOClapQKROdyjgRi=1YD+ z%TaR;HFNZ)gd46W{#pefHWX4^NqdN+goG+dgt$h?4Y{eb4nlk&B2XTs!<4Qh)xKGFiC3J_H z$;$gCCG7R*O3Fd(DrBkd0*$rpCaNrDt;tl zClz(_JMt?Dy?R#CCrgV_^Dq+jX1m-?TBjgpe#?-rl@x~fijen}^n^G|NW7925dRR; zMagA|dEcglJ&0CP1!6BDFDRJ_ahs4VN`8U(uaLXE4e{wWcRwP{kZ?Pvl)MUYx{%FE zzJz#6$QMekLoE7^vE~utH~9&({U?8r=GlqYG|!VCtNBW>&67XrV_CZ0L>#E%P!YSR zI77syDr!VDxhFPxJ1E3gPu_`Vqvkdw?BWl+oA3zkh4@g&JSCa>6RCrQ>x@>?0^)EX zuPgZg;$|T&l@F^ON4x=WFy4uLPjV_fmmq}CG2$%A%3yaVU~ZaG|@a)tEqX8mC~Azf^CkK zSNYPxE4`nHja8f=Vr3OS60v}a8Y}tl`p3#GzRGc|EJn?PNZ7?my!r5`pMV%T*pRoC zf7b|6F`o~Is&9lLb zn&((~^uCmT6Sg^4D)NI?RL`lAPiL^w*Ub~gVLYyFEfRaTJ4+-g@B>gBNb&#-cZI!fwm>{H%lBp0k3Mr!GB*ZME zjkRDUMIm+&a*dZTkHG+lUkEv*Bnje8A#0U9g;;$ICG7P=B`-r9DrCHp$q+XPv6SqG zn0~CW<{Ww1x55zH38|rVIzyZ(q=1qY5HAREDakvINNXhQ^%dT+xSdfDR|)x5$vTLC z3t6QkJb_38X#fcjw$H~ zak`M7mHZ6xZy}#5DL9Ep3=+0BSxHBTi9-4*SqAZUA#IiX4YBlOW37&o`VixV6j3r2 z;wB-%Lj0oW@f80kx~6${{IupdiuP)LKWuXpJ>%;F&-iH(uc~-Q#A7OkOfl6rtEf@5 z$@bsF=kO(rqbM_KwnM@$mgCbd&tVscON3-ovIgQ)A@@I!eLw3|B5_FA+F2z7Atnjg zrerh3P`9b`m6Bo*Eg>_MjD@&c$WSE@AvTz1taVn>58`Se4V0{h80;~1$|xxUv9FMD zB_kki6LNik51g2X(gQIf^D~ekN>l6eW3N z#utgWM8ypv&QS5Nh$B?gD0=sb*yO$F6}}2`6rDiL@I=$+OMDLI(Z~g{g^;~UxwRObl9D12+X=~~3hIj7_y#7Z+MVXt>7X%2CWkQGX1Lfj=}mXdQ2^L${ey{n`w#2!Mr z3-ODu_7nW$tFh+Uy&9V5_$sCO{;5J6<1OiVs{~- zO8P+jQpk>)d1?L)TwUyL_I9y0!C2olOgrrw;8R84`jJ2!0bMP$Gg*ZycK_PzOxO1F;IIht= zhvOHT=Wv{(c@J!JIQ}wOqGY~^t5w`2;$jsKi#Sb1jXd{xvB{CwQy&DKK+PQUO`q@a zX^{I|0AdFrXO#4X__>g+N`8cRQ^+zUVIL7`goKA8QAtaPlY|UW@(ILKLS9vJ4`P*% zjkWqp+CX#*DXruih?j+AR^nPf6S7gsUl2&=N03ztM}uVVT|reu8; z^N3hZMUAhre~L|xFBcyNcxNkwnlVV&#W_<^lE=0a#OXrbQ}PMKBSKzNauH(QPmHx@ zN~%KaBc!5|eh@zul2ge#h&O~h;xjD!mT@tWC?xFlpGvwwd|${;C2JvG6S7=M$frc= zB4KMED2awROvrF0Ga>F2(oM+;h~b|ZYmJnYf!IYzStb1;E)fzT#4o-=M)}9rz4s)~ zj$hV1$JcL~Uj^G7Um3?sENm9>p9B$ii}7=Y{Ssqsqmsf9+Y4EuqzlAZ zLflH0L%bklfRbd0C6-dcUUyJZ58_}U^^|xZZWB^m$sLI0zA)B8m2`qQQ^*Zo<~#-; zK|CzvsFGxeCBHP*)+s3mv6qm=N=89kEo73CT@arMd0R=oWkh0-@EF7@c^%>cA+?40 z#dXCI635Q>Pnu_gSv1da{q$Wa{|#(&Tvr_{k@b^^g;d-rVyKEIMZCj%G2aVc7x8x$ z{}FMIiW=9uPf6<>*Prm!i{tt+YS#YBj81hvJSGC*{5VU#MMH6P_iFlrf-b3c}j9Zj1e+gNq2|~guJd~HN@LOS|};LoJbEO zJfW49BtqOLB)5`d5Ob_Bb^hh;gvX#b#2!MjF&9?)*9keA(xbV3GuX$y-Myutonno_Pvri5Qhqx zt7Hbmy+TGQISVo0Dr2pel4=n93u&$-0pdm>m4x^OOsS#%0h3GfJPg5_=YaWVh?M^o zwmD#494Rw?O2nKhJ{0j8@2ebIt{+YHt11>3@tBHbMck~S#@nW2(mHR1bNCX*@m3i% zV~}uX%JDwRbJ_{w2qD>&cp$D2a(^_ebDfP4{}6Ik$wP?wS5w06Y*SJUVziL2lyrtj z-|l+r%uq59Vv>-dN{&N(Af&Sr*BTCzTX~*igtOB`qMnEo7;ZNf4I_nWkhN#4|z$D!B(S?@yGl2XRWuL2M=D zB_VzhUu}>?FmI71G|vWeXr3cJo#wm1Hb;D|;SzI}h{aW$B4W6T?~C|=w_RQa--~!b z#Uv5;tGHjpBo%cT{B&4c;$<*{uWP&vj-uvWB;3Q2d`Rc%{1;-uBttSPsR*&PkiU5~ zbDi!G#|ZgN$$W@OLe?wU4DnAPpDB3=G2c2$*taQ4YCvo+xD!r zIR^2bkfKU*{!F9>680cONqdO#LXvs+;W3y6@kb$tm280cyO5uhJb;*QJtf@EA|+KI z#tNCBq#wjYA#W;~4RMW-SCkxtcuPo4CFwR0DT#zVD5xX~Vs{}yN(MokCFCzY2xAQV z7S-?X_%Evcn&+lAYMvL>x0?S9ws}#V=W7eEiVY(Es^S3=e^T+dh@Y$YK*U59Q$&1M z#atUr>#wV*i>lWF|3wwaS5aP61yHjd67JyvUgsPfjUe_GvRcV7i1UPetmG?*zX%zp z@@Rx^Be60P zCP$P+Lwr+6l9G`SKNs?ek_`|q37M!Q8Dh@ulyEz5DX9Rly^t6sgCTw_q?VE`5N#oa zl>7}b?+!}1opeg7LhLNW=1qdfpdZ9JLJlZd3Gt+m)k=~f=GsXKxAU);JAZ`<4DY*l& z>@UVz8zoI4juuiw$z+Hdg%nV70%F#^#+pk>ZiuafT;WZG$KVZ!i-i2DWF^EaLRKkp z?IThf36JP}B`qN)2pJ>9Z*2_ibqL4yL{()F!zp<81NlS>6h1k4=dG-?_{vzank_!-v95B{aD|rFp z>q0(OG6>?gLdGdM1u^$QW9OTo#J7a>65_WuI`#5j z8_hM(ovovJUK=lJegtgu+UUv`6OPVA5u2$vN5pC>E*7z{imOEoQ*p0|cl%0k9~1Ep z70-(Ji;B87_H2{tyfzl|wUpP!1=K8X)Qn?|ezG=7KMnPO72I37N3zVclYeYphjQ5(n`kA^DYj4)L;(6y9^#>t_(7e>c`HE9n98 zb0PbbY=fBL4^!s{CD|c%5He3me~7Duj8?KAV)j3cwbzw2hB#G73nfVqp9ra}B=j7S z{~+P{$*rUh#IJ??`-TaAYZt^!=S`jSN^(N%C}g*iK@isn`BupWh^`C9+J{OCK}R}1N(lRy#>t;^mf=j}F|= z2-IwkgnPJzw*?-|t`I*H;#Tq_#D_u#D9Lz(NE;+ZR5f|&kqQ|G9XLJ(gQvQ9}qh^vGwR&p9*v0KL4BqcEr=L&gS z$xeuwZ<{)?O7cMLDx|iO=@5?yDXheGhe%T-JO=5NyaI8KkgGjpD_9Bfo{)n|ohj_N}jycOd>Kq_q&g<<_XZ|8lFYc{W&5^Ss=0 zYJMAR^Kxs(mpr~HJSbu{6;Fs*SjB51hN<{K#JjJ`ddYmxH1vmxIYsIdIUW(|jD$xgkCN9R zE*J8os|5Q_h*=(+Iv14Wg4k8a9woydZW8jHl0y*l{cEhvR#FY(NFgJYBtra6NKYYt z!5$auAM8yv&z-HMc@Fk6n!g0w9PFL>g2TanTf`-m(km|ew7V-6#FF3ED<{Tv4?P`32<(ORr@uHA?O720d_teyR z#%q@Aw1PNG$R#B!Al?zOSIHxY^`9AQ-z(_^@e?6)l`MmJL&zv48B&O}Lc;y&rKAtU z57D{WmD20 z;u<0MJIO9^5MrJXQ|GLbvJeLf*(Stqbtbj;U!7lRo}HMld0w4UH9r=%d3A1RCs99L z#APbZ6LFS`--tL`#nmEOD*hs3I~C81__B%*L@cXfh788fTqgRHwWCV9L0lnZosu;WgEN{si@H-IlHm|{33*$|VTi>u8Edgh>O!0* zq_&bTAl?&FSV_9fFvLj&{aLg0N=8BaRmjy2m=zv_XAs+jqax}YR5A|YAt7s&`~$IZ z7E@<|l30kJ2}w|L6k^G&rcPfa?I11@(pt$jh&dumo$5*oLwrX_ekD^No)D74$3X6B zNH!uJkZ?~gE9nmLJ0bg&{0uQuc2nmECD|dqCS;zH_aN>QGFr*+5G&>|)?QcA4B|W? zEtGr%@v)G~Lj1O*(k(EddNZ0^^W51G&GVLYuep@Zn3EddEvf7)vR3nmm`latBBr#F zk~KuUrsB&Y{-$C(5x1z=N5thSz9-^b6(@<9pkktk{Z!Q5=k2e>Pu_hR@+Fdo=|j}K zh=d2|1aDp(C&>`&*d0ODpL9h97e_+lPY zr=F6k5EFzHS27*qSs|fH?m=vl*I2v3XGQL548+fb998l&#LW3jopnmeKpZY)v65vF z9}1bIzd84U4fA#NqdAQmcR z>I_iQ65=c&9h5A9cu`0_B~KwXDQ&D3SJDdNY$2gazJqvQ$c} zc?;qyA?uXvgqY<8Q)jUdztuV?8ja{`ouqkgDqi!vTKj0e5Nz{m{ivD5c3BZ8s#rtB z0V*~Vv9pS?A~sR+4H2uTI9$YnDkh4ULB)9@-fk*>E*9~uin?0Qd?qD%wXWi;6c5uE zsQC{P9-wBt)$lAmf%u;n4XLQ48^jetaw^#fF}$p)^N6<&wpIpWypTVYjDomN$WA4f zAXYDDtSwja5=4)X50uP>cv;ABCC?zXE^n-LQ_>0IS3(*o*$Odx1yiT2k_r$<35ig$ z0^$=P_xK3NV^ELB5mZ%BIc`C6N%_ zLS9ue2jXQR^_5(Q_)-;P%{lUNf8rp1DI~MjNrD(w)zrDo$6l^e0^(pHCzMQr_?wW8 zLi|?vk5T?Be2M0HP(Rc>ukZ<)zXaR7!hdQc>*2PDpQ{*N&6G@3QJ2&7Poz38r`P$c z&dVtWYPLqg-aO=W%N_3kai)+zlza~HcOg5J+=N)Ry0P|+l13262$`v562vV+hABA( zF;@*^t*er{5aWe3R5AzRAt5g+c>=LcO=B&qlKK$e6Y>x5NIV8}ARZQSTFFI-MQa&r zTa-jXj2H5ylBp1P2$?R#FJQ*k^ADK8n&&C%rFjmRwwgZ*+Z-^H`8vVRbpH`?kc!1> zo045sj25w(iW)^t7y3t0VZL;76g5N5caX4)tN2XGBNz{Hfspx1zJ+*H$QUJ;AZDs# zto2q>3SvtkEtSMV94@4al9>?K3dy772*ig%o-{`P*z1asMA{+Y{#;P<9>mXu>`}52 z;w2&9DM^NyqpqSFVq4zic%~LY+$W@tlHVbQzhvqZQBoLUD$Y}@SJ|TycT!NT0 ziW2s1t&&KHmXL)?rb7Hl$ap13A*OrTShJMWhS*m~8zti*t`bs1$sZ82);HD)D9H`6 zi4d2P9uVIba)q}79)r&y9v1Sel4OVl8&JYyuu4fKh~0(E7vdL_GiqU=d8+yQ!ZDg> zgZ(wnG1*=7@4z<4#C9q^5b$)eAWj!jUdb+qkA-AclDh?wmPpvz177g#TP(!MLVj2BDa7A|Y*+FZ z#6m5NwXc=bg7~(O_mzx+_@j_`A%5}oc2)oQ>Y{me{6CuK_^PM*ZLrPpHK4Y{!a)%` zt9V_+CMst6kEvco#d;zZR8fPjz$|Hqx5(Rkec_;sM$JJ;*zd`FeB}Wj0r6`g{gkYS zm@LFO@^YOg5G%AY*6L`TrVxh-DWYUF#BYTJE7=9{zL0Bm(F<;;P-`Nskg(T>l=OqR zP{>*(Nf2!z3zcMOL!>qmwl-eL1cZdjrh{Y(OMWaJ0oEiPx4`yN23?S#X>eI z`2pfhAxo8{KzymKu{KRf9K;WW3{>(l#1lf|l-zxWgoG){ z*q%sJB;3=RysWvW;~;Jla!ko7hc^-y;$_wY1yrlUuu+1@m0q4_~ORVdmA-NcQRv8fRD{QrxhW- zCd8#=2*hPVuGEBeu9F1ux{zO$WO$WGT_ikctCU1Tj2ALr$qb0wgp5)02gDqmjkVrN zszB^5q@|K^5LXMSqT~p~&@RSW9wqf54i)l*w+8O%a){@ITu|~a#425lwLMDy196;? z@03h|xIxHlCC4FV?q;lwR8p8lAw8A!gZP<{rb<>ryeg!E5Wk2oQC1?F@3nGho+mGz z<~ic;yeQ=#!Zt^InaUD#A>ECbUB&7mKH*KABfhDKf2o)t;t>^Binviljra|2X`Lhf zL%w=(#IHfkJ4m=QFY+my=kzhe+C2=(s-y+P$wL0&h0As3K|Chpw33?;%l9 z_DUK;94Dl%k`Eys7E(-z-&)91Mq-=SLI%xq_}niooY%q?&7Xs9UJC{IlED%FNW>5o zi@ae<-r|b~mux2D85IYLxJ$)hBL1M_1Q8diI8($aDlQUnu!_2r22J)~O3nE)$xCSo zY92?zWAQt$ZVrh*AZG7t$aW8NBX#0^5ClpKecsh_b{Qb}Qmu|hHm@mm%tB_!;5 zS^TYe?(CnM=VftF^F3jkmqmKMj&QKQBjQcIn6NlX#8WDc7jcJ*?~Axn#f2h%tm4-q zPEt{Wec}ZFVDG|LNDlUusQC{P?%^$7z8nHiAV$Aq$Z;j@AkG)ELCMz;ZwmQbNmzd( zt&wm$QqwQN>)L9Af&yLtOJO&L&DbTDtQayN+HFRoPk(upsABV$qNwQ5ptdP z3m$_h5cdl?qU2AAr3V>nNlNNNoFwEECG#QvA!MQuzaSi0%s&X<(mZ#zv*tMnTWbCW zY;zEf;SHVR?Xie&s+e)GDcN4dsv_1`v9^fiRBS9_ZWUuibg9@~#ALpPuuB@5*T(rr z=2pI5ab)&J&G(UTx8us8B+tq`h}(p`q~sXH6d@&)WE(=H9ul?|rlbSJaYAnL@^xk( z;wmA>l4#cfO)+#v$(KXyyTPVaY&Sw?& zkMr@GXD0@0p5wfi<|AO6<9u#OiJw9uCa73e#C|H)60xI-FNxSt#TFt~R54z}d@9Zr zF};dAM7+sYih$t1FpABL|At#h{ftV;{qmr*7o)NM{$s>s6M^eIGyOlJ9I6}w( zCG#Ne71BXTmiLG>K*HAQDd_@nhLGY)zJ_>KNT`yF5c7;O)^6}V#bZzf;_E_=Dv5{q zm5_Bxwn4lnWU-R4(M0MYVXr3%@h*#)*tYHBIwo9qrE|F~C!s&TY0-Z!_j&%|{QJV@ z1G!w8HXWga3RAdPPJ(h5Q*PUl1rRIJ5;<@ zRuXprrQESk@QgZ&8ty znm5Tis}d!)^FWR5vzl_t-rB>|Nx5qm9U|`DO}Rx5^(N1pojwJEw+BgijOq?eOv*)# zT+IeIVjMTlu;XaO>qaxUf&Rs1(f(N<<|dDNYL9Q(-t*yYlQ>b2f|~3s~lK65dK6k<+c+h`=ul9Q1=x7 z=>0IWIPsHX>bk_UH%|Y9b~ZThU?3cco^5mBsz6u`PB=kEy|Y41Q||2v>oM{8U&JI2 zO8vxheAjXKuNP1fBj=vt=wt;I?56|GoLr^H%qcpU`!X5h)^5j}Qi0w~AOqH~4h#*1 zQvi=R@S42y^o{_pJL$)#6s*p?`SoLBLGA~t(-nN}zo5jc^Tt}!{ zoX|gT<+w{rkqun@7X}au){(PXTK`@MGZW_87$r(0wZfkiyMH zaDRlUYgHdhGO4bVA5qL+CCKl1IE2lyp}wfWo-ND&+^)UzszLu#*TS@GQ^+dyYIhD( zPkJ>2?d=4vZhyrXW8ranwHMIas|Q)7S7GD)dv#WjXBIj^gVhv8MpHs&V*|~O2lSf# zRO(t=0?bYlBxawXNX-N``#8IC$m?xg(v8^`QrCJHGI?d@ppqcJIry8+@f@s04W5IN z`OJW&3a_gj4f>zvVB#a=q;(5!SG5~)I~-FcvL2wly5qT5r2>0ZpLEl!Az8$l8?sNY zIth}&I*KBBCa~H4bbfHc+&fqWNjGK-NnNWCWFNCZg2e1f6uIM=9TsTzfsfgp;igS1 zdZNGCZv=Th;CL%wc~ImaB@{75#jJG=_0p3f|O{1UQHi@OAQC$y#AwkiXxMV*vd_AI_uVmQo!qF_;T(Q@zhFZ~1R z*gbt}*ypOkXXt!2jn8MS)Z!P#VFcV$*!pVcu*65(g(Q0~vV3B3KlENDaZ2Pv;%y87 zUl+RS9U$jV$YUG2)?&WxA9)dSf4q(GRdPfTxCRfbf>VsOtO3^CVlCi05!=>()J$Uy z$hOyNak5G$pO1J5(2n;&(VXVfD(%2gfv`MaIS2L#gjoSAJFsCOyp5jJbYN+Jh^eL- z-%e>tO%EN_%`xN~epCkEy!tI$f5G3q&8q8|J6^>Ml{1Uc)U6qOnU$Fxnu|1BuBz^I zSKGnrZRgIQsf-E%gr9e~j33MCZooU?=>pJTD(yw%(VF{^lk)~bee%!=gmyet+?U&q z62z}&p;(p_t02XkLd!S|U^&$c2Y8Y}oa9j$+Sa|*e>A)D?SS8CR&FaD+vdiwBO*<& zal7Z=HK$X20}(Sl0J*2S-7{v}$*BM2+V@^qlZ|vpH-Nb?FW{mZgxBTm(`A-Nu^-c)giBeP8M!nOp zj+iTPE(X?9BynL8{3G&o5}F)RCZ(FUQG0nsW7}CO8KV=nVbGJ0y7!pfiwr0Ar-1Z? zB~A|m3_%hbLr)|4BycDrY(TSyB5ql9E=C;8$kS@e{n#|+$!5KWf*(^tj}BUKefD%F zTUiW9dxtBfn!O+dwE(S6km(<;lvOBZj}zp*iX{C`C7$PHIrZ`{7-BEG^99V;%K>~U zfkIy1M8N`6*~>4(j3b_zd|WO{y7h_!-9C`J5C`b(!pUG$(;5%irwbbenJ#=sC7#!X zzT67iUkyXtg#~=M@a@8ihdu+n0R^v7LIZs<)Hvep!ry@G&KB8gm#;EDSXe#l%E-YmixC>KYh`Vr-Pg1^Jxcb1S3-6=gUP`D7#R9u<5HRaB zhUtAEH<6ok(}lL;rxgR)rwg?OnJ##!#PhmvJ_CGX`yap%cOiGGE|l@@!eSKMO$l|O z8`pQjodfAtK<_N9NM}aVnFUWe>me|X04Y`MM8(eSL^`X4hcHi56}!J+s}*FQKDHC& z?W5V%Z}6=qy5sBsk)DbCtkC0#*e6IN=9Xk>P$bzhVmn;4h(AB;JPci2wp2Ix(luXDwm4D{usqwP+65jyb!E$kaGbAwSVvX;>d`s z%&f|+{QdU-`TusHqO&qyeB-?r5ief6h|Hqa?4r2r;wFS@5W-C^!i<6N`21g_)mE)} zMG(RTF2Yp?!Zl3@-wHzLbrJ415OPfjPX!_T{!dyD|79Q?(S+b!9?+WCxd^Wz8l}lc z|KicZ8BKoDOEeI9Me$-|0jXbw5^?MN^EkvTCiZrA1m*`$C2v`H67O{&({5-{zDw=8 z<}`I{cB^p3WZjJ%JG>YWGKh&7I80Py=$)zzjol}BfPl;mX1YrdwWV-5StAa)KlXwy z`&{GFMfifUY-f5xwiI4R8YDy6!#g98Ksd0c5(n!wqe^YIOY{RC6X2ZuryM0<2K? zqskD+#Rx5)jZVu8(%U)U#ymVLErDoo5v+aA^_b>#nGfnR0%J!GYoS=vB1O+Gb6vZ@ z;hw#7>A%ymi>~CR!>>u3@8yAq@|wK(cS3vDv(qP4^jzc9`fKqMf?!VHnIHK60pxi; z*EoaY&Rk{}+?w0*^1p{dcK89Q?77)r&OakM%B6ZkX!D)O-+U*^?C|f#GRI()Vh_|9 zplH1OobKq)Ha>Nv(z2LPFZAr2)eFU|wdUT)nqttzNi^dVLVQy1%&*M6dxiQ(IkEo${qNnWbIuhg=M#ASj`%k+5Wx!xZ^fLDI0NfON zr4HM;^=+zi(xBr4XR*a(P6mKNRso*o!at~)YwrEvzIYZg-$MyyUiFH~EN;ea%I}*0 zD)*u7FR3h9?m7@i|MWhcS$x1P2l&QKI!k&Cg1b7`#031PXN^QV~pHc;>k38~fr9U1iJ(vDH(H7IhFJ)eIn4*-K)0D})&F!FP z&1H0N`mg49xQ$tOwsHCMHljEjU{9sbHhSHe?78`y(ICn&zd(_W&Hsk}HTyx_-}YLX z2tA|qrN$)XNcTwvVCC$#9J=P&?NL4MsQ>ewIX2haH{PVlzM;tkQHBH7=o6lisCLe| zJY}n$bJ1GRFZgXd^rX@nRm(Bs$91)0m%I2@q2EJT`GA|fo7;!Wf6^%5IQ~#uo0onT z^`0ZjKg^jZFWUzZv(2wi(p6uy`3_{@U!5Y`VjsKl239UIwq+;@Z%f%`&o;)XX{CEf zjfK*xu}<6FZ7fEmu%`4x^|rAxp<8)?@$k-R>Fh zzbN|LPw1Wi-k#q4$D@c~TIbF3;Da`|??>$L=%dQ$yC`v<@Dnf9n*JDTmetSWXfGX{ z@@QH$nm7e?xK^aBLOe0);nkhx#aNiZ8z;=-2MIGix6||< zqTsggQJ1IiDGTy7&D^^*bCw{xyMjEVY5O&;=*VBuQ74Zewqy4ZjYW=~)bO(nvSucu z(?@X6=J^^?Z9|5hEtil#pxw8*%S0?_7K+;ZM@qWti|2NL`^P;b-B|marGvAl_ne!B z?zaDsm8Ouy#C5wr zX@cW5r+A4pZYl@o1|1G9{ue5hQ}Jt`)>HAme@C}7l*noSc9x0z#z%ofoA#?f@-C2M z8=unIa(D_kzQ?y&xB{qIxIeQS1rT51mc2ol60dMy7*b8Pb;k=)FV3)C$K+)BI*pf3l3%Z`3Ee*rP&IQ#{~s*|jlA2`q0?fa%)YRdc1H2!u_ zX@vkp?QUVwCma8y4H1vZo-G*G_RiO+fz11h&ol-!vO933$PZC@F+^rrM6R*82kA=; z%~=kPbE^mz#O*#d)VN*DYT9!(tsr`k-E}C=JFMR#$CxnP-_T&hp0y|X3B5$rd!i+N zniVzEN=o~9S8GRqmIM5HRSOFOU5c9Cplfn>px&j6y4n^&C8?r)3?>kQqF~c+XxbNA z6AMGtV6F|AOC_8gFm`{|f7XB7Nvc z9~7W`vk%a<>2A*c;e12xiqS<6)-}uz@cm~RPx3=GP`+Yyx6R+=0YbOB>PzS@2EgW9 z(dhVB-%VP~CucYF2-?B~MvdIuDLF4on%cLH8hX<>C*hbO%&=8eY&bWN^zIE=P7!lm2`#LXLmbee&A*lIg!4J za+9oVmhaB9#o(XY69=w^MVs9P*Seu3&>Y_tuFT%UyY*~&gl1o{z}&t~6GKI;SIK{k z`DaG%+5Bz%#lPt@#h@1KT&JDetzC4kvui7B19Y=@7KdSD*vGn2Z?p=QxANkan3$ja z0D$K>6%HMZLFHS{i56xZDCS;l{6aq&KYP#SRj6zFnE8RNTD1pip2S^J?eFwyj@e!3 zc2%ufL|JjRU7j><?+-!D(3vZ2%M+L$}jZzc&;JL61tC=3OMFm zd@W|W=NeztHcMvafblHltfLl_2LB!ceW~#iZU1KPrrt@h{`uKU06Qu=r4eHPbuP*w62$J{=bGt}i* z?1P)bKdc=#$0n3~^E2p%(AN%IMI3kgFJ^gMoqwJ>x<8@Sb`Pt`RoiMEcJiogjT+?a zzC*#IX=jrxHz?GGPpkqp|Uyub|;|kF4)c_x^=@7i;GIV1ag0 z@kLrVF=T|)${T0eeeV3-PPY>stxq;(aB8>FM_*LG6@z^C7PQ;ku2c&GK1v}cZU4Ue z1cTUK(k*cLN4UrVu2PrGeUdBW9zQI4#O#Ch-K1I{Whii4{IFK&G%Jw%u8gz1)9tQb z)nsqdWTLx%kJkdI&7*f^?k)9`$Rk=Rq2nI9_f~yx`@x^)Ys)cle?tc89XiQ$U zRC_iL!#C);;ydDj7ft;Zi-Ea)=Jpkn_uTH(1D=yRc))+@SA0rFJ6TpwIFj1q-apzs zVYfM-#$TiByFv`aJBRP$h(Y~z7=1g45JPoj^ULm+6rJwLH143GBKm?*%z@(2%)B`C z_>VeQGkC@tJOP3ho7?j~n%2bV?%8q(-65;^QUjj6K%AR}bFuAV0y$rAFvuyRAr3=A z1=Mpjr0~|8o>Thue1?!u(<{K@*QsmJo#pz@)?MzWxdzLct-CxxS9H-u1WN{0ZzcnM z0~{*4dGW!x$+PN9jkjnDVw%`FUygd}?if;Uzl}vzBMM)nJv(CV;MtEc$n%ZaKdVOC z;V$W=J*0zJ5=nVT95~zj7ckhfem&LnLk0k#hhs5~yA$u|yQ-4(7-nKun-?PO*eO_5pB#o$R z+tU!>VOM}QIm&BIhj*L23syM|bi4a0*# zHcsQC$18isn-0=`UwS6$@G?G2HzngZ=YYm&oT1Cl5BRY3VI9R3!q?l}KwDkD1yfen+!Z`>hQHf$@xAzZ(D28A+H=`ADV5ik zUQ5?!(9^vqzNjWrR@&J;mtICWdT=mj3pKaJUmBb()11v`l@JH$d&MUW()njp!x15T z6h;4p&L}=>(BH3bZ|}x5C6;LF*+x0Px2c1}F!g91^w2lsxzR0`upVG-(2AsxhBlt8 zke*A=!+opu7Ets=4%y5N`Z;#J zSEqEn`&{A9E}z#xZeZ|(y0;gX8l>wr>DfZ9kI)jQEwx_3U2FK>o{JYlpNEie+^w^X zzdWScRw`^)OU3o~ox#Ies&^KdJU59!dY&!fEyrpR`whPD;IKJ#Wvlj!`o5*wkLufJ zZ=aejlV;yxZ*g1R+>mvz0^EB~CCQ%S*e%!2`xo!Yxb;mh zphepTK2`*R9e6cDWnSb!B5rNFk?M1w`@kF~!zUc`HfMG6^pDd}vv)1s9~1W+L(XpI zE-YrEb2O3Y^jlzCg`Vx6_1mSoL$5Qs%TpXYgZkV#?*ZL~iWeKCce|ukpS|2g{*Xa_ zg-eck8C5hkxX4!+q$N$d{RFX;dGDaj{ZhA%&l&~Ox}Xqw`cVN^6uU!Zcix6~arC)}N+@Sou~DB#YvcUa{yS<*Amt;)Zu z2NYbM*4jq>-2RtyE-rOcKsS@!;6sa5O~qe81CqTHwyE};6V9(a-g&eu+69_+`&%}1 zn2YM|s-IeX)&P8}p#bN8{~Ir^5VR4`%C)v91qU9uHw!g*KQb8UmT%T zOyjQ)#k`8|^V;1ixHauVWfb>s(~w$KszcAsLW6U=Z@yKVIs_0*_CG-cq8n^qy!lq- z;9uQK_H*4=w~KgJ@er`huJ?cbR%u3jfAbUg4l`!D;>8c^0@rv79PV2{`b>6fzy4^& zZt)L>4%TQyU8c#3dws&y-~xSHKCmwyh}n1ZZAxuDTV5b>#Fn^tVr*}F zfb?vs5W)P7++wl|ev`#Q3l#S^YWsq|O|$&vyzqjqXi9LNRL&HAx!uT7O`X>rb=zN4 z5plwNuIqS?9rs-PNt(d%wh>@W*#od=3u10If3Mbz6c07{+eP2r_5mtWq>p+`?oco2 zyO7t{xQBZtq@Z2m=Nq@^$P+PgI-hq!-b=scIHv2ET%mhz@o0mAEsv}Iw2gqew*4JN z&Q!CiL30ez%e4zsE(Xnhe2kHsKo6Ry*ad*mqH-Buf=fBhT9p_-OC z;5tWvR|q<{x7l;}xn{EON{K%q2E~Yz6Y&=~&+IuDYy9H5Mz!noZJPAYq?*JiBPBnv z&FDGUw@^8%=PcD-yqGr-57vmn9C;e(dABS0^|z%Ivrzr5%PUoLf4lxMrM2R_4IVzO zZ*RK{O-Uc6s_XPDAXPPvyuQX+JPx30oNR2I#;bRHB~?7qP^x(8v7NZPc!UzxhKJ>p z!Ny)L8{F#-rR^2ny0D96sxKt^re61%{hLkoZMu6EFEQ%)7agPw?R5o5^^oFaM(GJ% zT8#$zY6}{2r?7pNDtPd>N(Js%TjFx`DFgE$jVXir(ssSPyJlgb5vJXyBa?diy4Fu8 zAKtH7C)et}R=mmJ;1e3s)`>k3-*SZJ=bJ|1cj&@WCDa?fz+M@5j=P%Y{+=xtQd<^( zV1VqWLBt|JjhR3FQuo)N803%Z+pZ&gukJj>M-9^3H0ie2qt?faywB*ooj%GFvi5y9 zxnRFH3QXz(+bNVMd*t&((9|PewV+x1OI*;de{iS1gEXk?4^ywl=#aKdSTvEkhb1J< zX?qA-F+9~4ml2Bu5U=1i{}Cqey}O=x=e8ZTKc`|*x+86nl&U|MhcKz=&wWTsOw` zV`T)v1{`4-fHsyrfi~cV64{Vv#xCZ2FW5b-K`-=49*byVN#~|lVDmVk!?oC@WM1tHctYw^mm%Gi47fB zJAf@1P_4kodN+Qlqlt7133T}9KJq5DOm;%*(Y1Xk_D3M0f>=Wx4-ljs++K;kIlY^( z7TcGuBv!hn_makgyZ-k5R?;NHG$^-y7j{iV?D|-01mXGK`!n5B&Jgb5S=(1+O{VwIXke37o+U0dw# z@_CBUr~b&C0avdr&RApcR6p0l&*%66g5<}n^eY<4t@LVrulPoz(k=S7f2BQJ5G3|g zyf~=hYc_$Ymk`T>T=9EVkkZwD98Huk(nH6z;=3fjNRVDIsVRc`U!g8tF}rS2&D zszLvCmwuyh`!o8smXeMz$_-X1uyG!Z9%&LjU=%Fqf@*B5-77A2s+rAwoEL#|NBY(7 z_SaohhwM7ynDx(!b4E38kW?9K4|{j;i~LY$@$Zas_vqlNnvyN{zUXEL@U^U&uTL2G z;~GHmg(*UYDKneDjpoF^`ibstZoR+wDFb4mhM4DYC3PiM-5&b>w&w}KA8We5_;~~C zr#gaZW8I`w^&ooFIS)o^gVOt@Kx&ZhEjWrHybS6rz5AfBEF&T8~QY0rhALuGGIQa z$!(a5zQ6g~T9xNoqP;cAow2_Pyt37t!r)_o~>l>hKCmPGV%&M9lIv zcPcxiDe?YaImyu`8~3C>N&ScKC~B^9TAWwf_DiaA?gos9x!d4Gi(@F$2a32oy*CM- z>@D=^+V*v1b2wiWzJ~714^k)_7lu44{}Q?_HoWKV0QmNQoMQ)Mj*rmDs$Q)zF)eR< zGbN(FQ|L|+d*UAA3r6Z4y(T;iTBIC5we$kUCv55STQ^DE-&ZxX%YOt;GV zYm1{e%Y8Gb8pqyYx8>pDz8FG{z3g1I%Oc*gLa&!n{(6z1w592#YoSp(5iB#f%O9XK zXunpu{2D`9VzDluGs|8I@v7?IGD}c%kzd9BQ};XS;z*l%MKwKiGtW2H6n{hmRow>N zn%T#gH%`thK1A7~=o9X3?-e>#@d;1@;mqP+fccm>9ELl5n-1H&SmalzKf;S?Xjy!} zfVRnmvsT>WN&-nE;n#2PdM|RIBdJhv`%mB21z6N4-S1o-_#v781~~f0nqrQ=`-ys{ zAS!~Ki$1{}!7VC=cX1l!P3@Rp1U1*j$xf6O-%BMV`X{(>piZ{(;>Oj0r*!@}^?*zz)kg)!4-0G{pFMU%N zVEtX`e%IuM=SzL{T^;czAzG+MrZ`TD_e`mYjP5qq*9DQR=u>iJw^e6k@ z1jvQ{JgkdTY0{$5i;>ArxTEI?m-`JsxDp9-|21A%G;#kgx7plZ<$l-95}VfpN8eae zyotVtaDN-fTXX;YU)$X0{#`S(6$rmV!rZ_3Z^&ul{>fW)0oMJ`-0zxMV)F&y=o@Q_ zf1>Ynd*`do+tSwUK{x4PPNqikmRZC8Ya?-1aRCaq7P0XwTg3N%Uvqp25C)L2hztBh z+}#z4Mf~F}8bC{=T4Fc4!#u4io&_;}RXh*ynof#XK%On)S!+x&&nix$Tx;pNJ<@%S zr!Ag}*8}12k+5_Fcl$QrF|IT$-J$Mx%{7Vf9pLC2Yl>Uxdy~*oy8Dr5OXs$x(SSb% zW@`}_|I%*2Z+%be|K~vXBN7(zEqD2fc-qan0E;-}e%BI_EQ>y6i+BuuS0aMW6p7h> zOEC+QHY7bB(5)q1`3qap2Y9~a#(XUha!6RxA}6uxXOC{&ic@G55O`Oo_A`-C>^A z6n_RW44ZF?B-`{iAn}pZJ3yZVi>)OsJ!(rj&vO_{`XUha|Fn|y3ig_tB>nK$Y)LP2 zznhYt3>1wO9U6sIAEVQrP2;dVc( z_#T&g(G$45D7qPWA4GX$;V}O^)2YS$ULLXji6=!C>oOGoIuaIZn0@M|2JCgkV6l#H zziU=Z{<-!w#rwfluvkAvwW3j)#CjNczeM?9u?Bx?x7L5~49H?Vj^amrPKhO#hq$!Fg8Mp6pZ@BrhO&CwZ8Se)6OnS5gx-_M-0BxDv_RXnZxUN3wvd zXX9;1Dl}s>?nkmCc>s-bk?cin-uNPt9Z3g`yOF$>Ms4FMBo~pTYMelZxv?I}SCIS` z$q_UyHQtQmgGlZ`@)??q8$Us^7dcstQ<1zE$v2Q3LoR0HjYvL@_?l8-z?$=T0R^7-c|`Q7uB-0%V=pL&UsBmPK9>19gtuTXOPpD20s z&y*ZBPl?ktKTi*eQ}WIoD7hBNVtPYO$@6nMe;0?BE7qzu?@-V>E$VnFVL%a8oTa8$zSNT zri~Zr3{vB;11UL~-b2$^M<;3<3y+{=>_|$!u#gh`s%+!KODK7K86_vCC|N*T>WwG* zDA{?468!vJ<5To9#Kui0QF8C8l=Qxyl9%2{Np1}#-+wD5H@%&bH=IMs!{<|SbDolS zt)*n;I!a2Dl>A$Tk~6E6>@!Wt#Cl4WT|~*P@1f+{_fhiYiz&I|Qc6zv5G6;=QIflY zlEIHt@{LbWa_eU(`J2yDa?s}}`3aIM{*jU&ex8!OuA$^~Bp*WZzmV+sPn4X1=!7RLh}4|l>F#=O0NDICAF_paz=xa<=ZGZ<#&|4e>)|&(+Sl^{r8llo}y%r zrz!ajlDnRv7(c~Pyghtra!wGchoihu6`~3$KZlM<>8yUX1dj@S9jA#P<7!9 zW8gnk&P!n4zm|@8sC+uU>-`5I{~!N{H<>9FxEg||0_AXRl;4&9qWr7qFUs#r ze^LG*`it_1(_fTdM1N7GK!*yz28ibUXA((PuS%-b|l7 zeWvKMg+3pn&$aaV8hy6X=O^^pMxU4H(|yej-LIxkKYdQ2&$;xe(C35nxspC#rO(~; zd6+&=(r2fC+M)XZ`lRS{0)5V+57@nWNmqAXUgNv@?>c&E$awUM0ZaB-NJ*qx*wK#do1nh{%ru==|uO6%v(%!yM4jGZ`O$J)iMwA zE)Af&n&?)_Jjna{0J^)0?mU?XdDjKdZ6~_-$vnt=SpeOFgS)yvC-WfhmjmdIC%QXj z9^}0*fG$sTzoxw7sZO-#({ye2Q`N?EME6(M3Z1==X!oOQ7(Va6n~3gM%2Rm5bnS=t z2+^%E@Xias+v$+5?h7gJB$5H`u}PLey(aE4ME4QO18?|zT;iEDD03#!eV+2(PBi#_ zJzaywoKSFoBhlSTd5{Bm-;s1)nXe(bdnph6f$oQNt!T`;zfW|JQC>yX`+JFpy3D$t zC%Sow2YL7SqT5eRyh9J|>Rw2BO5R?&M!hBtuumeolPFKg`&PR4lXo4_6)8{2TbFc7 z7GUAu$B6C{%2V?GEnO=bv+mo8?pn%I^4=`*fMLq}7}4D)@gVPy=^8ZnXX5SgYI>%Z z@|3(!)3u+xS)%(3{TCh3Ga=o|5-{bnPea!o#|{FQ+^u@0D~79J5Z~ zpH6hwQl66cdP%2bQ9RU$?(3ANd*;z8a^=vvjK@bLE$qWid{yP4=d9YD9!5nbJ1k#v|p zZVsS3hUmU0>7FLK9|q8!Npz1%I?Ny21L!sq-Jc~L=8s+e#b1tVi0(kjQ~mS^y7smk z{5^^2 zHc*~ww=EJ6`KoTvts}aBpghIj=Ovw@0X_bGjOcEpyz@!N`2H=r292r{_wes_qWcfZ zTPx`vq-&Am){F0t5#7_2w_eh{OxFs_tb30mySn$j&Xwa(y7r^X5?zM!l>SyqI;9)M z!#PCvX3A6FbH=s0hrgE)-K9p}mBzJ6cOB7v%gFn_ac$B)Ky=%UyqAq@lkOFwJM?;& zzvGN+lWyTrUEOCIc?ILzq&uDHW{tdmFs@Cy8qwWsUqvCfy@M_bwyv0^{1G+i6i(_Z3FoKN{C2-7!S>9V72P zE`L6-}ldyMGn2HhnAbbGvp?6X04Z2(=C=x#IUz8gSy4$(bg(ETQW?h>MV+Mw&Y z!Cx=e5#525r}VNkfbIdJTW!$2DS+-3qRSa{l>oYhi^<0@=sq4mcRJC1*`WJ&09}pf zer(YFI)LtKqIuNoe)@j8%=-ylucd3H1MqHM z<2&9YRj5`gRi{*T^2I`aU8+>B*HVQGrgIY@#;-_p_0#86C*{-&wR$RFnVia13#sz- z#6)Ucp;|6Xq-ynQsk}C|zEm%!3X@ay4XIqUn%e*`gtjP$q7*Mz%03WyxT=W*zskY4 zQTbRtMoFrR_EsZT2>)&MDBhIU4yUzZrCKjk1+NGjlA^}aZ5E!Wl-H`VRD}N_KHOBX zXbhuWL`%trLAhgF6m#3dDk>2U_btthAjoS>IF^_6=uS;cmdf1SWG|APFEvppudNqF z`B7C~=y-n`6#Y#zS|yB0-XynktmXYLvm<-jgddH9@?HIz)=` z;UTQ$bLG@{samVMg!wUC=f{o-Ag`?}P1RBWucfBT_0mLYyfR(pLaRqznAM}peEvJ1wJQ7Jasf?qvHJ?T*-P^a2${S5znMw)U zR4vq}tL0h>v+qo)-X@taI!Bq9)Xm9Qu{9oX1gdjkZeqHCy+>WMK_xI;%WaulrYqH` zhve#o@#zT=pLDV)VvTqvR6?X#3^JOPak7#V(xNxBIl6%c-01Z9IGIz$w7_1}#H>v_ zIXzJ?O-&T6p}{=ZbTr{8CS+%%&bPL>tOb0v0LxL6yrGiwrFG!JI^w`S7si}=#o_z7 zfS}T>SmWC)C>ut|=&IbZaTdKaH7Ib>$rzTWz*!t|to|WN*n+$B@#>}rb>}k348LGChCYp3%U(++ z>@FY)Q9040!Eo4H!+XlGb45;bp*mR@D^WKU%u^e&3}X(g@QHB%rppQf64O?t!GtMe zu`q)MO6RP0pCXsM&brcFTu^a3V+}_^OZ3@3EOF8^>GaUh@Nh0CXs!NX&}!i3LfL-2 zN+5QWxq3&Xd1qXR|C7LzX(hQWV z0{((*|Iq>P+*lK2q7=PlZ46|d+A#s9XR^5<>3Yvw75)LtruA4qC&O8-7RZb$3XhDR0LW)m2R_;QoPms(KAO2hj;Z(hn%K5?g-W+dYB*!r0^y1jAQkf>s(wIZ&v^LL?*)=RM zR5jvYWacI&DtWRy=B%LZbz2JpbvIR;&gToYnwp?%PJX&tEtKodRD~@W467uj)qpcr zsO77rsd}YqxgO1M(^F*Z3N`<-i%s3?vT~*2vVypRe>Ivkv>J>(jb1IRB>{XjgDHcY zJFGg%GaqqkrHcwQk5-(CTy<@s`xKK~lTJDrZL7@c%_dN-P_H?{d3N#@(to}_vEeAH zmi-8JkCksS;^3>`j+Xv><}5ebogcI2z2CqHzRj+}CT@y?8dUd*BFMinQiOlibM6F;MSF>no z9FAqRo?1(Mz`?GQfliUn2Ae`tzx-p3QCS`#4rG&mAgTO#;6MoT0Q(7NLK0gussTyX zqmn{&2j<<^WAPGm9H|uxtPC{5>QPF%@XklCE}2y~Py{k-+B54Fx^;;KvgKHr_YA{-|-YKbJAaT7TSL`2&#m2YqEP2XE$^KJN$80+5fr1&jINOz zJ3W8TQBt;S_=EO@Vv~X_JaRT7WmAYmGq||VhZVgUMQszc5 zzNii46iwWMk#5^QxU5^~F8jU>ZZO5MJUIQOvha!pQ`Y1hMsBIqifQ?ka{1ErN&PNu zM+=Hasc7491*k%mF*I(?v<91&3UxVG_5JPe=p!Pcy+`Fn^GA`xINsb(g}t!i%$9Xx z4h0cnB}e6)(W81dT-2;=L6a)2Emx|vROIekvv3zSN#I*XF#OJ=xE^cc#^6QQLr!pR zs$f^t+^Ibk$wGS&Luu!zoUE(4+sR_`=*v&EmLi=DLb~QJ8N^t zk5~&QlxQiWx%(*DWKFuT6cS?)?H?$e?rS>WOD46ON-bML#g?MmG;=K6(ELP&7Pqt* zER?O5wp|&FjV5{sMHM)sOQ|;b9h+TvpNAvB-;M>&bAk@%f)|4;Z4R;qpQDOEpgH2xp?4BgvvPVX>PQ) zG&z~=1FL*t_26QToU}qc$J=dKj*1@Kn@5kbm*^HLFAUi)=p6-V&T3bSRLpg$a&D4i zhPmERfllZ7jPYz0(Om}|fpJ}EY*Fh%%o}=Zw%@9PIspfzm=)RKMC=n)AePy0h5KxJ zH4Kb!DM~mdKXwTnNrawy(^+eL+N9=^idb^vofHqY`bkK!3LS9afsG~vYnGu6E~v}U z!|@|lU#Bt?If^cxFoJe~6hvaJYFr9dx;4QUCQEe=>B{vmHUg|(q6u_!gQPcuO&3yZ zrq8hqq$Y>Kqv>=^P?F=HP6tq`HWQTN;|~4L9V2}J)uHE7MlMfJjuxuD8HkxpGg+!H zm<&vg7XXN>RA29SrE*jNsEGBeVdj=ZRWlndvNS4qp{-28589GQ9Qn8RHO*CMTDuzb zX)4+42qTam>!oj27xYvmijynNjV7iD%)glRP}Z>`!`uk8j|B~lIBN@J)z%d@IOLx@ zd_H7^Sf>i~efWQ^DReazN3PlR*bybg}ShyAI1ar#eJ&x2Ayn3_38# zD^*(j)CyISs-7F2C^)5>LtD5L{NyZzu-eQj(VGs*%Y@|hDFvy&A+*_$y#A290fntp z9zwf3ByTVzZ%AP;C1uin)w&HSOF^nB{S~{23hCYvSh_deCS9gYI<5RR>9cLp^|wja z)G%$9Tizz!V4HNh@!(*Ikw;?zYo5Td2P;O#Z)f_0kztuk+3+aen>GkB+5-u>&5Tix z(HP2ss#;cH%^Ki9MDHX@ZyE-%R#*#HjE@Tu^TE6*Z1vZ&9Fw^XqXqQt-gLnIOu&8J z-+&8k1khzwz3Q*!z3KXueGARagyuGJ6iS_irL568JL(s-#a5@S7aYFhZJrvfbSB(= z9?Pn85%%b?1%wOsNiipmm1>( z6b*J7`$3&qZY{Arj}rC|SU#Tzzkk44Qm#144_RvYdfL0<)6WnyopI8APCCmIITlGHbW`izU4QVn z!j46++}o6)AKn(DHILjKT-c(tToqY5^{HgUF_&Fuv;g&AfQ2(U91ByjZ^bBl*!O3M zg&JzNUY~{Do>Z1Xbcj0gcyd~`mF7dn#U?10UPXX{S0Zh*;w?>WgRY~Zg)=9#E0Y8g z`*I>!DS27h5d<*=S49MB=zM@D0%NWFHIdxGgUN;Sd$h@cAi_dLZ!qadhw`*>OwK~i zlOuyUESFzL4rOJ^nxU*rxumRr&?2Eo_JWzf!yBv^YXY1C z83oAcsG_y%;QPd6GqK>&sEMfBsfo!%P1cSRY$qNS@$MIkhaRjQ9v@Qo$pbd0*1A}e z^R_kT*Q_=<`z?wjOXoQgMQStCb z%}&;FEVg}tXXu2Ru~TRH6ylj3!7U4?g~G_g13Q**^e6$HK(EUdDV1sJC$cV{k;}B` ztT-F_4C$usMW#+vvGM70o}Uk>tt-$8y-HcE2C3XgoAeoYGKlsa=S)>A6P2~og*Hp} zv3+(126{1WQiai}HVbCqm^#kTaPNwgb2OE6`g^O{zFyk*Y!jj1Wx6m`io*1OU3YED z84z{1&HeHo=6+zTm&Pp)m$fhWAod;{C!g&VAyl7MmbXT8Ro(E%Q6cT2sD{_krKTQG zbGty~l0KawGDdT^hzt?ZK_X-Mbd|^$A)O{NmS6XY4C3ubR-nF}E|TH!6!o%^=tX@x zNpz6rUJ@N6q?<$s^XVtiK|(r8bTGf35*@|cRrV%pGA?EoI?_XvskSrWwwIk)I*937 zmp18rx=WiSoBK$c1w%SUn+QI=q0N#ZU7$?_zjkl4XgiI)7tcYZa=uzXu*fM(|H5*6 zlnv3di)#K9Jr0l>t&DAO`$5!#J{=+|M03xGiV)I8qC)i}LgzReqm~=MqGSlj)Z6@E|%xG8G6A^2Ke0Y~X% z@4Cnm4vSt3FI72wzLRE1f6egrnCo_$u3u!VOb=_^asnfR^m*Jttm&m`&ar7e))`eM zTFCD<>ZlyG;gwQAkAj$Mr%QNtixzonTRDeXe?BFB#%<)(#R8A}b_$OVJP zZe*xPgEzl4GQJwUkqd?l-^f@#Wq4*Es)G8VJ=`G}D z)TqWId5*mA&|)}WncCpwy2Tn*#BXq04|_T2VeXq&gj#j#c#bIV&eM@f`3&|*<(mh3 zq~aliJQ9u?;E@Ui5AH}X%W;6!p?^bkHi#OQPmwxJvoY=P$k{)QNJOM61`#qu75!;< z!cS2Li-a;H=l4j3hJz<}PaIJMxPrQ8CcPKSU{3AP05ZWLooGOPK9hPhgzO$Hiw^Lh zbm!&(A#Kx249GAFdV4N%{Upcl@<|9S%`?mLTp4EYYDU8`dNvn5FvIVDwLn4 zh>8)*R*bfC9l~ryxYx!jd_(7S`j3NzSfEBO>0>VDZg$PghmBQln<8j%YI+l|Oj zku3-9G-UfCS2Kt+Bd{GqbXr2j6ENw}UX8XOkwKLWiCi$miiA7tK0Vscjzk9uu_V#K z{A@{d6t6YG<}7(0lR4UnHkKZ){lGaH)2SGvwihfzkCaC!(aFz95qK3O)DQ7Z`wHVA^U7!92tgX ztTlY3`7j&S-^zgf{kKA6$IJIgy07eorGP>59){xZ#Js!C~Y;BfljF6NCq{bI+cw* zC7JOWW_FXR!WhHK5+?;6Vw{tz!p~kOg&k_b@wP>W-eyhSWp5!bW8-QcJ=?txXV3U; zp+}v&>AjWl-AxoWT3A`>I6O3)>Rm>%Vp#mPbX05 zIzJN!a1al70C03zY~tg zZ2bDA@!Q~M{H&)Bo1*Tl!P*FS%>I${*wC~A3My6w%!`y?<)lil#FtvJAeprs5?OQajQE(^9&l(x$Xef&&BQlF219! zjHrBpff*3C-h{0BhI-Kf`S1AR95s%K63erPu4zFEitJ9VkMA)4Vktj8l(? zyQ_!z5I*xpe6Z$OBR*EhoDm;X%^2|!g6E4EVT8~aN_-xJ_VVO8j2MiD#XGD(W2Ekz z;g_$|61oEj?k4^oaR;czQ#I;{SqEq#uQ}@g)$i439l&{Chh{yQ(87+Xz=_&+z#%i= za~6V7g-k&1hD;_ZqxADD^m9eLwM2JCZRaBXsR&>F} zrB%sLOVX-vQ0P_Ki&n*l)G~WxE6U-(@WixRWY)5<>?oUB5{f5KJ@3O4K4#0Z%bS<{Ez1lBKTy@O?2ykgzGb1|umyY4 z=4c;oGT*bW)(fbmS2j_)u;4XOE$DnKRErYL2C7AY5c|}kq%uz}$bzj?i-MuXsYTfq zwy8yF*EF>t47N;`O>6D|ne-vrF!`OMZB?9q;3~|nwF2O$Fa>~*#c5SKt8DkCR+K&q zM->ZgRdirKsiJ<*A>LL6mxtKWR%O+*@U7@V-rU!!sLvzrEsFGoyq2$3QNIV*TbA)y zQRq{?V~gHOVXR3v%4bd42J*u$S-HQpu^W}3gSGVPLd<9&qqM@M*Z2pxdggGGgg%C} z6?U^FZB-(~l(s6WY-uaHU}M^+sTTuqvL~Hi;Yor!Nv1R#|RNka@{%d&x%v1LhR-dYld*teEteGFWyGGP|3Wm!KH*Sb*qHqP}MeOIT#FLq7f z2D!Drhzy`!r-}n?^o!nDtzr*n1EO@64QrF$$CR~Mve}}wSun(~wTYnYTbty;X0A}^JCZQVP$ayNWD)qgO`7`iKgDp)h2n!JGqW-uV?waiL2eBA@AR6 z7sBtYTkV$hzH18yX`~0DU(dHQI~W`FAsb%Drb^?fRV%yqvLz#V8E344t#iKW`7kY>LMdj}Ut!L9K7&0m>l~lbw(N zUp#hvqJHs!LPCM@0R7^F@sPYhL%+$!KJ25;&lyvWgZ=t$6?w4c9gzi8bhI`nA8SuW z9eh_?xZ=ROITC$O26cepGZA%w);t|`02VSSb%3g-rVb#2C#ViU-D#==5YLgI4v_TA zMttTe_r!{vO**J&*gfir`OrIM>ElhZrZ$cv1&x;IvsWjTh0kG~AiFbJCn)TAyA3(g z36`45I>88;&^m$jnbtai3z^(Hf%Ti>I>BpaqEo9X{ERxLtBvw42e{_9z=$Us_SLSK zIiIOQn>;J2HjdvCHV!G3>DODEkGRGx?=y+TL~5SEVgiLsUNI5X#1*r6@T3(J(4DYi z0tBzDVge4~ZMo>b)SF{M_yo1H;)SpSgsr1|{(hQY*LGh(0Jc1DZj6hWIZg}O?i(EA zR@LV&`PzFJBW^|fs$=MgmS}M?d}8fc`9MZo$bns?s$(<7M^*h-j&Ye%(fzpPLxTQs zA$|4&VnT&&5yXY`+c}7f<$bZY-zri~uGm9EkLC&0{k1anTD5eynn=B~?*=jZiY-$h z@U{b9^t?fr@lL)cw6;+Cr>==1j%AwDuPyFJ$I3WTD%stlD0fvvG)d57T3JwqUqUeskc z0kj2>*Spp$tR3-AD#=8h@;j-_3Yn-4Y}-YX6I6^%4X^slut_IO8%~k`Tj7=zMyHNR z&*+!icT(AayXn);kArkll^&IwIzi#Mzi$&p+Boqxo;oSk32Q#?Pe#VPI)Lr-`6=m6 z;6jd>bpq>m^sEy+?{PHiDMuC5@p%J?x}}Z-(8)BvV*s|}u$l~Ci-^BD_0dUy`M45Ez&867NuY)}7fHY?FCz)8V0R-4bZy}_CV>|0fFyw)?1?0S zZn`9|O<-`f@0%o2TVy9Cky`tXG5oI}Zw0nTIxC4(YI-e+07iCS5~($!ACpL(dbn>& z1TMt0Nd#ZHIEjFT_&Q18`#C*{;D&fViQxOWL5Tpz^@ps(_N_gklUf_=>2mwNP%>~n z-cT~Y&Hhj_xFH@gY1uP7OOZ74S;gBR=>C4(RA8zqBpoV09b(S33vV=|LI zSi2X>xiFlGger00-H{Mr$QwQqszJT2Eg?9clP@X?kWdXiZ*WTpIpke#3Dw~DR=0$p zL*MVVGxz<_cZRmwYp`Zgfu}Ye+L+<>S!fY92n!%2g61=4CW6~MWhMd}GGiu!uO`ey zz=G$?MBv@&G7-4o*)kFM;K?!(cr%jF#_ZdAn6)2DNT#~Tv4mu*jlA`dOtoe>AsNKT z@q}cmjTlf!rqbo1E?P2m4C-UF$>8~%U{!}clBq!b@Npt&;z+B#f27>AWGXNwUiC)G zWPsTd<`*N-q1B@c<^hmoD)9Tk<7ALSf9_c9UgrYd=-%R3?tXerW4LclPQQ)7e)v1y{LJ7Ng5SK66ij?n{ENZY5 zm~1_+H<&E$AjVxzJW-P@aY$hFemN(&wG9l-B6RxUHEns2)HYN<7 zeT_E6fKP{<9!j|8e)9>e_8yE%n1g};b`F+1miAS6FDFi)$nC;}r5-f9)24*MhfI~W zcM2+D4vb&S`0waceQEIuGz=!kQ4MOuYm*hXFXNseOt>xkd?L8&gnYt6WTPEsOStxa zy|79ccwjdg-Eu6CB#ga$C$=0(x4F+=wpwK+EWprSEWkqNI7cnF60U)_y8YK($<~xj z`@DZU;VLqFu)6Zu-fE#fT`j|i%eTC3?CxkKCfWhkidSNRYcRE-^FA{fMNoSSTCDtn zj^iba>$B`ixQ6C+SHcy9EW8q~Nv*sRh7DeNC0voa_DUGoTzn-A9lZKVxFU1;^+qxy zEe_D?h)ElRH)Q>lbWLFku%zpC0Fu_jZ2@>r8W3wBhK!FT=BWblHIiX?*{?3*ToZ@Q$BPnG#DBSSpVgenMgKohDW zvfr6dz2;$%gdlxRSE^uQLN!D@_>oYpdL(a32+$`2r;cSLRD*h+Bq6wvCrXm3!S9)p zgpfm?DoLmYzvoI4f)0JMq@$4Fp4wx=#zo|aV3gfr+jl~BfzRhA3+v-TC0jwWE0rvM zh)b1hMas2G7B$$#O12(tpf@IqYeoW-MGkhhlC8&dy5ffz{f2Ca^ObN#VNO`WRYi8j z60SdT3^3vP`_-E`5e9TafHAkWTigAN830UJmXP>g!Zk$<|0P_z8~sZd*b4q7i>+gS z3B!hj{t~XqC-RqY4W7B*4E!ZrlV98~;VMGIe&U!Nzx;Q^S(2+(a~tSqVd_qHsr>1{ zLGo2w>|lulzg#v&NO*VtWMR^MbzFQ1A15+CShK?zAIsB0%y?UTP~`~5M+kQM;$!Mx z9$YdtT`Q)_sf!BL3jJtgy-;(~>cdU{5^g0lmN5cAmqs*kDc0L|0uO|#ems-Fq$0M2Xf;8w|#JoGHZ68z4b zqwU;M`cVX+#rw~jXw=KlbMlv@L7TVUl|E4@udNrIQE4=f@-v!(CRL%IfP|TK z?PFWiKBlm(a?Mt+tzw?0HVs@`<&`aKi#XV9wN-riL2{Hg|9pLJrY(fQjItA>;+@*# z)ykx^OukT1|5l)D@AyT}Z9xw&@`Wzojor%5G1|g*`bS zfX#{NF^LtNVM*Xgk@1k$At$ZFF>SPTs!~qX>bd+n*Gxn!=3_6S0W=$qXyrpJNHid2 zN}`ntwkgqYmSdD-NgnS0L<1dc8wxXk(V&JyEunn1YTEnC`(q>ZX!i$)e2iE` zs7w?OFCw^nIyB`s;|6|dXY9=VSlZm(do z{cW#Yh}~@uK-t^&sDtfnd*wr&xAq_g50NI?{})l(0|~LAd4JEUsb>spK8D?2X35Vu zS+R_TBiIy4X#&Y)@FOvpaOjt}^OdO${Dbj@S`F?fIgQ6S)Z16A(7t0I-Rbw*<2I|x z3SWk*@;a0GnKmK%10izlLJaK00&i-wMxWTSdW5D;gu!N)w9S(IP6vOVv`vIzAE&gv zqJ8N-SWo_5scY}ZsF@beSn4Y4m^PNYt*m38w2kR@I#5qmA=oE8)l^#sPe-US>C=KuHT4T}S#w^ORsT#&lkXa}^00_#RII)z z(S)er>V2wF%lRDbRSs`dD4#c^MuiA@6>3x{zjvNS#Rz@5X{h;kw|(06frldv3)>;k z52$nk;$!tYfoq-uIzbDW4?2NWlS3yM!81iCsBKOgomA0s4(S9ubVBI_csRs!=jlKj z|0d6Uq=O+A8dmNII8uldo-2=M=A;Chf< zDJ)qk7?g zF$IgmOuApLXO<85PEJqMOH&gY+|wHUVv$5gE-1FIgHV~?YPK&uqceiA++xQ%X3(JzN$IIeFAWufcVU6oxn9uZ=Ilp%yXT(}UTVZl;9?xk0l=BZnrKz$d>p(7!a2?@>GwVC^Jacc`+9tN!R!$4P{W=e=9q?oK)7(wQ*eS{z**FHk1SKU6$u-dut*-ROoI>z_l0eiQwq)n?z-%c5F z%pgA#0j8}(1!-x#BjBnd1QCjG-}Se|5m0=N2Sg|xau^^2ir?0MgyMc1{cQ&(EZ-KD z3j68%Jcbcg`9Jh9+i`v!njZ#%oo#Z1Ypx$0xulQHjf~N3dLu)GSm4N5$`D5`7;KLt zLxsjiBBQm~yoih!YOo`twb;b>4XcE8=BQ86W&L*8qt_Z1@Q98U7Vn6TrotW3ONK-{ zqJ#MaJEDVx#5$sb`Gq zp|f0*^b1WR&JIM;&A$RdPIt{ISL#l+ke|qvCW$^bPLI?%6u4gu*u~u--iXW8DqL=A z_5EZbQn59sSjdeL8fqw&o$*R_(pgN~}%wUlvB)KaN9W${?f z#%}zQrgqVkG$|pC2=3&nYkMID9w?=vOWP{I@{XjT!m<_N3amnw=taYhq+^uH;PnrX zjou(ahx(3nMum)dC8`tvCxgNzmZy^n^lbXtTCX8W&e}He6~(u#6sn`ryf+)2?+lt? zG+xb_j`)_PWAfbxzVq?;?(2weS(=0T&E4r(NA@Qg$aEl7y(3{mZ$X~Zq0Khg(eTK5 zga+eXHfbBIPIqeOI8q^rA@Sq%oyjITX6GEKPMR1}0JW> z>N9_x01*8uq?pPuU}z}4E(uJYKQakIo!B{7+}AfGud=ZuRHp270xDBbuOXEo%2_K` zrYFXnV(!9%bcx_d(6$uK@r&WjNUwy*yV%=8vdMM9mg4&o9FVZwymf`Lv%W~l6ghQt zhMw_86c~!njG#-A7l7Y2)^_i#Y7!!z{Wk_m|`2l~)zW=8MS%6wpCY(YW0tfqTkm zk*_>>9;Px~mixI{c>kVc6Dvt!2AUOZ(?Xt+bla4fwki7{>Y^OpN1%Bq)l#LgLb+ZV zFJZ&FGBJ^>g6#aYzIWAJT6vI#?o3=853i)j&EsXB`{EmpG)t&=Ndr&O;wmGxzZ ztW<%Pg0z}mOKWIjrN0PBBe5YM<%-m)l&ap2eZ5j{bfQ2j^b4j-ReFMO$(n?=B9e=~wI2yzD$5R}t(NM-V1#zk+94G* zJyf7DIaS}F`{|MU(yi(8x-!Oz?!0n{z#vZMCJ@M?P#M28Rrp-=(;4gFb4^bvR$fJ< zrE!q2~aS!A=i$T;4z2`UN$qEsshosgGn^C#2P#vGB ztXGb6sXSguQOi{~q*n1ebwtz@y=J6)XG-y7DL8MVem=$c@f0w~zhnsNjotdIzEI_n zzljf{ZInmS_MtmXua-tRQjfD3fgh|8l%X7R_~p?#GVF#eR>2Kb2_U== z24ZOYy*wXXOPnj}j#&)t}@_W0j<%-7@B0o3g}tvl5rCSs0prKGf;tF`yg-((4`E$Gyn?5+I+wW z42Ul0-$L9EJ@3p!mCKe`RRTslniM7n@-}v(>+!G~k){B{MU2dmZa55T)}!z{pLoMF z2o5X>`i#r49;LV?$}4k&EUtpPxft%~i%Xb0o*LvOZaB+0J=zt5`)x=TWT)7$HBVts zlR;DOkJmGzMRX()>G`NIS*oY#ZSynqQgWJSrm7{nLDuXw0Z97Y`TF56@IkME@?!@o z7@MA)+|U+Z)|xtqFYP5_U&>EZeqnxMJhjF65@IDtp|!#U?F_nl{r?c#Vfyy6o$8Cr zxaDT)4yl_FW4oU$zVh8tvMUAjD4ZsOW5lIvo?iKkN`IV1T(T|2;zfwce zcd(YCmqODYEZozXUfd*)4!^|Uc`ZNyG6u0I6a-E`qXhN4;Od!5pP!`QV{EcVs|s7m zK=@LI#i%9=8T8Qgse^y0!l#b(OqNf~P;sjvA)TDiP!DMa^Fw+->IyeVRayC9qpkQ1 zm~Ru98Uem{lcT~fXi)H`Qh@8KKa6Km5!OT=Xuoz)_1OC9Q`N%0!zsyC3s$5@-osC3 z3I81(i)gcWoduKA{+(ZavVDTugt~HHt2$8?pVmg@s1v>s*GbFYR&^@DR67}!S#yVy zLJO!~5G>R>D1XI7lKEEauUg$hTGdS5Oo%CKMqkOoQxNJ^*{!=`tBSNfWkpB%izwe5 zVoF6*G-mQ~%;e*|DK~yJU22QtndtO}em*xb0c*EpyiaRR&$&y1mhJZf;~B8FfGwrr zhymnBP1$~ib{s6#9cQ6)oTE-#ck`md85rQ_*i+>Bb;G*3ufQ;06x!{US4Z?c)aGku zd8Oev17rBb8@49|4lmJh^h>dMN1GZ{+7kXVCcce|KeZ{oIV%3tN`j;WRplm-<~beX zbd=Lvk?(Ul#_4Dgs*tS=1ahY_4#?nD;m+{cG#Hp79qyUnh4^TtGEvBt;X%^kfWj2z zItZi#PFK88OO?h`D=|r>$DN^p-gi$=PT|&(E8&}A0T@WIhY4}yN@x}s{TgFsw*e7I zpt)F~xERw2t1K>j2~dZISfwBe8e)Y7;Y(l%vO)_os4-TVg7^|x5UVsqUPG)fA$$qY zjkO?X))jE_pwX;G!R=Kmu{KvN6H3?wxk^DUw;)$EK?V|{m2ECpVqFb3U41c|sNCW* zh_DHAm8R^o+4MpN5?bpDKM$;#AY=M^`I%+{dR49K437B_(627I1fxC#%T0n>skw?l zlVFSq#tJfc$WjdT>hEx6fS_+M!LUgnq_7EcCV`N`CKxpdgcLSG!6Xn;*aYJyfsjHg zrmb~L7?G|@5@qCMuJ2nq2_k|4rA~^0Wch~jJ}|Tk?0Rk1ZApq;;l|1v=%cpp<^nY5 z!8p?@m%=;wI+*PngFO5-g{U4{*`z@u_YK=LkishDM`VJ)SoMu+5Hky7nYbU2T2-)3 zRRqwn4$5N~(S77|(+(*+dvbcD?_4#lTx1TaR@a^^2?gc#(K4YtCT~a`_Dc>a!vty0 z#Y9@E;(mIpw;~_PC5FU(SmpGvx{oPVlGqu781rm;l!(PwD1~&TdSIn$6mQA{_cN6$ zG?N|Zr7KG_^c~`7Gdc%CDJoBvgo0+WSrm{rr0mP3W+=l1Y0icFfM7jx>>skRa;%r! zSvfiJb1_(Fjq&PLqsfRTaz^c(f?K9mve3reoH3n)W*E{OK*4f*!Ol=X@XgF{tGW-h z=LdEC2^a=eU@m*>z%-XeqM(~)tYC~R3=kiW-=M$_6LlZYYNRCr+El$FkbCtw9oE(_ z4dk}07IN$0wXtvD5ItsR&s6I0BrXH-P=Gb5uUd%(m7ninl|v)wax1Y1gCd3lXi=jZ zYw9Ccau9>aO`p@EZ{li&=F027Sml~@u*#8~c{~)WlqJgwC5z#}S=5#+tF$bp=Ne4E zLeraWszq;cvr2I@uHB(k7BvhLYZg<8+T7tAvVmcaEME+V8~l0&h-4Y4(JZrSr6UV@ z{ZYp#g*j4{T8S`+w?`PX5K_?|sk@KW9}H?QKPxmpO})V=<&}JulHA)Dj54MSE0hdo zPcUdr8CGc-tbPzcy+Tu4y}+P0`BO?#RyC_sHAeI3Q3;za+r--)gPOtH*@Iib>?D4TV0KXdHt^OJ+yq|kgId7k$*%!S zp8WN1QuwRitiwbuffer) + (clear-stream _test-output-stream) + (clear-stream $_test-output-buffered-file->buffer) + # + (write _test-input-stream "fn foo {\n") + (write _test-input-stream " var a: int\n") + (write _test-input-stream " var b/eax: (addr int) <- address a\n") + (write _test-input-stream "}\n") + # convert + (convert-mu _test-input-buffered-file _test-output-buffered-file) + (flush _test-output-buffered-file) +#? # dump _test-output-stream {{{ +#? (write 2 "^") +#? (write-stream 2 _test-output-stream) +#? (write 2 "$\n") +#? (rewind-stream _test-output-stream) +#? # }}} + # check output + (check-next-stream-line-equal _test-output-stream "foo:" "F - test-convert-address/0") + (check-next-stream-line-equal _test-output-stream " # . prologue" "F - test-convert-address/1") + (check-next-stream-line-equal _test-output-stream " 55/push-ebp" "F - test-convert-address/2") + (check-next-stream-line-equal _test-output-stream " 89/<- %ebp 4/r32/esp" "F - test-convert-address/3") + (check-next-stream-line-equal _test-output-stream " {" "F - test-convert-address/4") + (check-next-stream-line-equal _test-output-stream "$foo:0x00000001:loop:" "F - test-convert-address/5") + (check-next-stream-line-equal _test-output-stream " 68/push 0/imm32" "F - test-convert-address/6") + (check-next-stream-line-equal _test-output-stream " ff 6/subop/push %eax" "F - test-convert-address/7") + (check-next-stream-line-equal _test-output-stream " 8d/copy-address *(ebp+0xfffffffc) 0x00000000/r32" "F - test-convert-address/8") + (check-next-stream-line-equal _test-output-stream " 8f 0/subop/pop %eax" "F - test-convert-address/9") + (check-next-stream-line-equal _test-output-stream " 81 0/subop/add %esp 0x00000004/imm32" "F - test-convert-address/10") + (check-next-stream-line-equal _test-output-stream " }" "F - test-convert-address/11") + (check-next-stream-line-equal _test-output-stream "$foo:0x00000001:break:" "F - test-convert-address/12") + (check-next-stream-line-equal _test-output-stream " # . epilogue" "F - test-convert-address/13") + (check-next-stream-line-equal _test-output-stream " 89/<- %esp 5/r32/ebp" "F - test-convert-address/14") + (check-next-stream-line-equal _test-output-stream " 5d/pop-to-ebp" "F - test-convert-address/15") + (check-next-stream-line-equal _test-output-stream " c3/return" "F - test-convert-address/16") + # . epilogue + 89/<- %esp 5/r32/ebp + 5d/pop-to-ebp + c3/return + test-convert-length-of-array: # . prologue 55/push-ebp @@ -8769,6 +8815,19 @@ _Primitive-copy-lit-to-mem: 2/imm32/imm32-is-first-inout 0/imm32/no-disp32 1/imm32/output-is-write-only + _Primitive-address/imm32/next +# - address +_Primitive-address: + # var1/reg <- address var2 => 8d/copy-address var2/rm32 var1/r32 + "address"/imm32/name + Single-int-var-in-mem/imm32/inouts + Single-addr-var-in-some-register/imm32/outputs + "8d/copy-address"/imm32/subx-name + 1/imm32/rm32-is-first-inout + 3/imm32/r32-is-first-output + 0/imm32/no-imm32 + 0/imm32/no-disp32 + 1/imm32/output-is-write-only _Primitive-compare-mem-with-reg/imm32/next # - compare _Primitive-compare-mem-with-reg: @@ -9366,6 +9425,10 @@ Single-int-var-in-some-register: Int-var-in-some-register/imm32 0/imm32/next +Single-addr-var-in-some-register: + Addr-var-in-some-register/imm32 + 0/imm32/next + Int-var-in-some-register: "arg1"/imm32/name Type-int/imm32 @@ -9373,6 +9436,13 @@ Int-var-in-some-register: 0/imm32/no-stack-offset Any-register/imm32 +Addr-var-in-some-register: + "arg1"/imm32/name + Type-addr/imm32 + 1/imm32/some-block-depth + 0/imm32/no-stack-offset + Any-register/imm32 + Single-int-var-in-eax: Int-var-in-eax/imm32 0/imm32/next @@ -9458,6 +9528,10 @@ Type-literal: 0/imm32/left/literal 0/imm32/right/null +Type-addr: + 2/imm32/left/addr + 0/imm32/right/null + == code emit-subx-primitive: # out: (addr buffered-file), stmt: (handle stmt), primitive: (handle function) # . prologue diff --git a/mu_instructions b/mu_instructions index a66ea67e..430a4651 100644 --- a/mu_instructions +++ b/mu_instructions @@ -205,6 +205,11 @@ loop-if-addr<= label {.name="loop-if-addr<=", .inouts=[label], loop-if-addr>= {.name="loop-if-addr>=", .subx-name="0f 83/jump-if-addr>= loop/disp32"} loop-if-addr>= label {.name="loop-if-addr>=", .inouts=[label], .subx-name="0f 83/jump-if-addr>=", .disp32=inouts[0] ":loop"} +Address operations + +var/reg: (addr T) <- address var: T + {.name="address", .inouts=[var], .outputs=[reg], .subx-name="8d/copy-address", .rm32="*(ebp+" inouts[0].stack-offset ")", .r32=outputs[0]} + Array operations var/reg <- length arr/reg2: (addr array T) diff --git a/mu_summary b/mu_summary index 7ecea2b1..d098d28f 100644 --- a/mu_summary +++ b/mu_summary @@ -195,6 +195,10 @@ Similarly, conditional loops: loop-if-addr>= loop-if-addr>= label +## Address operations + + var/reg: (addr T) <- address var: T # var must be in mem (on the stack) + ## Array operations var/reg: int <- length arr/reg: (addr array T) @@ -203,8 +207,8 @@ Similarly, conditional loops: var/reg: (addr T) <- index arr/reg: (addr array T), n var/reg: (addr T) <- index arr: (array T sz), n - var/reg: (offset T) <- compute-offset arr: (addr array T), idx/reg: int # arr can be in reg or mem - var/reg: (offset T) <- compute-offset arr: (addr array T), idx: int # arr can be in reg or mem + var/reg: (offset T) <- compute-offset arr: (addr array T), idx/reg: int # arr can be in reg or mem + var/reg: (offset T) <- compute-offset arr: (addr array T), idx: int # arr can be in reg or mem var/reg: (addr T) <- index arr/reg: (addr array T), idx/reg: (offset T) ## User-defined types