== code copy-array-object: # src: (addr array T), dest-ah: (addr handle array T) # . prologue 55/push-ebp 89/<- %ebp 4/r32/esp # (copy-array Heap *(ebp+8) *(ebp+0xc)) $copy-array-object:end: # . epilogue 89/<- %esp 5/r32/ebp 5d/pop-to-ebp c3/return