From 4c60bc9e681865fcfc149775a1ed7ccd2613d5bf Mon Sep 17 00:00:00 2001 From: Aidan MacDonald Date: Sun, 23 May 2021 17:30:58 +0100 Subject: [PATCH] New port: Shanling Q1 native - Audio playback works - Touchscreen and buttons work - Bootloader works and is capable of dual boot - Plugins are working - Cabbiev2 theme has been ported - Stable for general usage Thanks to Marc Aarts for porting Cabbiev2 and plugin bitmaps. There's a few minor known issues: - Bootloader must be installed manually using 'usbboot' as there is no support in jztool yet. - Keymaps may be lacking, need further testing and feedback. - Some plugins may not be fully adapted to the screen size and could benefit from further tweaking. - LCD shows abnormal effects under some circumstances: for example, after viewing a mostly black screen an afterimage appears briefly when going back to a brightly-lit screen. Sudden power-off without proper shutdown of the backlight causes a "dissolving" effect. - CW2015 battery reporting driver is buggy, and disabled for now. Battery reporting is currently voltage-based using the AXP192. Change-Id: I635e83f02a880192c5a82cb0861ad3a61c137c3a --- apps/SOURCES | 2 + apps/features.txt | 8 +- apps/keymaps/keymap-shanlingq1.c | 77 ++++ apps/lang/english.lang | 145 +++++++ apps/plugins/battery_bench.c | 3 + apps/plugins/bitmaps/mono/SOURCES | 3 +- apps/plugins/bitmaps/native/SOURCES | 22 +- .../native/bubbles_background.360x400x16.bmp | Bin 0 -> 432054 bytes .../native/bubbles_emblem.360x400x16.bmp | Bin 0 -> 12022 bytes .../native/invadrox_background.360x400x16.bmp | Bin 0 -> 144634 bytes .../bitmaps/native/jewels.360x400x16.bmp | Bin 0 -> 140814 bytes .../native/rockblox_background.360x400x16.bmp | Bin 0 -> 432054 bytes .../native/sliding_puzzle.360x360x16.bmp | Bin 0 -> 388854 bytes .../native/snake2_bottom.360x400x16.bmp | Bin 0 -> 10854 bytes .../native/snake2_header1.360x400x16.bmp | Bin 0 -> 41094 bytes .../native/snake2_header2.360x400x16.bmp | Bin 0 -> 41094 bytes .../bitmaps/native/snake2_left.360x400x16.bmp | Bin 0 -> 6326 bytes .../native/snake2_right.360x400x16.bmp | Bin 0 -> 6326 bytes apps/plugins/blackjack.c | 4 + apps/plugins/brickmania.c | 3 + apps/plugins/bubbles.c | 11 + apps/plugins/calculator.c | 3 + apps/plugins/calendar.c | 3 + apps/plugins/chessbox/chessbox_pgn.h | 3 + apps/plugins/chessclock.c | 3 + apps/plugins/chip8.c | 3 + apps/plugins/clix.c | 3 + apps/plugins/cube.c | 3 + apps/plugins/doom/i_video.c | 4 + apps/plugins/flipit.c | 3 + apps/plugins/fractals/fractal.h | 3 + apps/plugins/imageviewer/imageviewer_button.h | 3 + apps/plugins/invadrox.c | 20 + apps/plugins/jewels.c | 3 + apps/plugins/lib/keymaps.h | 12 +- apps/plugins/lib/pluginlib_actions.c | 2 + apps/plugins/midi/midiplay.c | 3 + apps/plugins/minesweeper.c | 3 + apps/plugins/mp3_encoder.c | 3 + apps/plugins/mpegplayer/mpeg_settings.c | 3 + apps/plugins/mpegplayer/mpegplayer.c | 3 + apps/plugins/oscilloscope.c | 3 + apps/plugins/pacbox/pacbox.h | 10 + apps/plugins/pegbox.c | 3 + apps/plugins/pong.c | 3 + apps/plugins/reversi/reversi-gui.h | 3 + apps/plugins/rockblox.c | 19 + apps/plugins/rockboy/rockboy.c | 3 + apps/plugins/rockpaint.c | 3 + apps/plugins/sliding_puzzle.c | 3 + apps/plugins/snake.c | 3 + apps/plugins/snake2.c | 15 + apps/plugins/sokoban.c | 3 + apps/plugins/solitaire.c | 3 + apps/plugins/spacerocks.c | 3 + apps/plugins/star.c | 4 + apps/plugins/stopwatch.lua | 2 +- apps/plugins/sudoku/sudoku.h | 3 + apps/plugins/test_touchscreen.c | 5 + apps/plugins/text_viewer/tv_button.h | 3 + apps/plugins/vu_meter.c | 3 + apps/plugins/wormlet.c | 6 +- apps/plugins/xobox.c | 3 + apps/plugins/zxbox/keymaps.h | 3 + apps/settings_list.c | 11 +- backdrops/cabbiev2.360x400x16.bmp | Bin 0 -> 432054 bytes bootloader/SOURCES | 2 +- bootloader/x1000.c | 11 + docs/CREDITS | 1 + firmware/SOURCES | 14 + firmware/drivers/audio/es9218.c | 226 ++++++++++ firmware/drivers/cw2015.c | 191 +++++++++ firmware/export/audiohw.h | 2 + firmware/export/config.h | 4 + firmware/export/config/shanlingq1.h | 119 ++++++ firmware/export/cw2015.h | 57 +++ firmware/export/es9218.h | 230 ++++++++++ firmware/target/hosted/sdl/sim-ui-defines.h | 8 + .../target/mips/ingenic_x1000/debug-x1000.c | 6 + .../target/mips/ingenic_x1000/msc-x1000.c | 13 +- .../target/mips/ingenic_x1000/nand-x1000.c | 2 +- .../ingenic_x1000/shanlingq1/adc-target.h | 0 .../shanlingq1/audiohw-shanlingq1.c | 191 +++++++++ .../shanlingq1/backlight-shanlingq1.c | 63 +++ .../shanlingq1/backlight-target.h | 33 ++ .../mips/ingenic_x1000/shanlingq1/boot.make | 31 ++ .../shanlingq1/button-shanlingq1.c | 195 +++++++++ .../ingenic_x1000/shanlingq1/button-target.h | 56 +++ .../ingenic_x1000/shanlingq1/gpio-target.h | 32 ++ .../ingenic_x1000/shanlingq1/i2c-target.h | 40 ++ .../ingenic_x1000/shanlingq1/lcd-shanlingq1.c | 399 ++++++++++++++++++ .../shanlingq1/power-shanlingq1.c | 140 ++++++ .../ingenic_x1000/shanlingq1/spl-shanlingq1.c | 116 +++++ .../target/mips/ingenic_x1000/spl-x1000.c | 2 +- tools/configure | 27 +- tools/scramble.c | 2 + uisimulator/bitmaps/UI-shanlingq1.bmp | Bin 0 -> 736538 bytes uisimulator/buttonmap/SOURCES | 2 + uisimulator/buttonmap/shanling-q1.c | 72 ++++ wps/AUTHORS | 1 + wps/WPSLIST | 5 + wps/cabbiev2.360x400x16.wps | 81 ++++ wps/cabbiev2/battery-360x400x16.bmp | Bin 0 -> 58054 bytes wps/cabbiev2/lock-360x400x16.bmp | Bin 0 -> 6782 bytes wps/cabbiev2/pb-360x400x16.bmp | Bin 0 -> 6654 bytes wps/cabbiev2/playmode-360x400x16.bmp | Bin 0 -> 15714 bytes wps/cabbiev2/repeat-360x400x16.bmp | Bin 0 -> 9798 bytes wps/cabbiev2/shuffle-360x400x16.bmp | Bin 0 -> 4926 bytes wps/cabbiev2/volume-360x400x16.bmp | Bin 0 -> 44134 bytes wps/cabbiev2/wpsbackdrop-360x400x16.bmp | Bin 0 -> 432054 bytes 110 files changed, 2843 insertions(+), 15 deletions(-) create mode 100644 apps/keymaps/keymap-shanlingq1.c create mode 100644 apps/plugins/bitmaps/native/bubbles_background.360x400x16.bmp create mode 100644 apps/plugins/bitmaps/native/bubbles_emblem.360x400x16.bmp create mode 100644 apps/plugins/bitmaps/native/invadrox_background.360x400x16.bmp create mode 100644 apps/plugins/bitmaps/native/jewels.360x400x16.bmp create mode 100644 apps/plugins/bitmaps/native/rockblox_background.360x400x16.bmp create mode 100644 apps/plugins/bitmaps/native/sliding_puzzle.360x360x16.bmp create mode 100644 apps/plugins/bitmaps/native/snake2_bottom.360x400x16.bmp create mode 100644 apps/plugins/bitmaps/native/snake2_header1.360x400x16.bmp create mode 100644 apps/plugins/bitmaps/native/snake2_header2.360x400x16.bmp create mode 100644 apps/plugins/bitmaps/native/snake2_left.360x400x16.bmp create mode 100644 apps/plugins/bitmaps/native/snake2_right.360x400x16.bmp create mode 100644 backdrops/cabbiev2.360x400x16.bmp create mode 100644 firmware/drivers/audio/es9218.c create mode 100644 firmware/drivers/cw2015.c create mode 100644 firmware/export/config/shanlingq1.h create mode 100644 firmware/export/cw2015.h create mode 100644 firmware/export/es9218.h create mode 100644 firmware/target/mips/ingenic_x1000/shanlingq1/adc-target.h create mode 100644 firmware/target/mips/ingenic_x1000/shanlingq1/audiohw-shanlingq1.c create mode 100644 firmware/target/mips/ingenic_x1000/shanlingq1/backlight-shanlingq1.c create mode 100644 firmware/target/mips/ingenic_x1000/shanlingq1/backlight-target.h create mode 100644 firmware/target/mips/ingenic_x1000/shanlingq1/boot.make create mode 100644 firmware/target/mips/ingenic_x1000/shanlingq1/button-shanlingq1.c create mode 100644 firmware/target/mips/ingenic_x1000/shanlingq1/button-target.h create mode 100644 firmware/target/mips/ingenic_x1000/shanlingq1/gpio-target.h create mode 100644 firmware/target/mips/ingenic_x1000/shanlingq1/i2c-target.h create mode 100644 firmware/target/mips/ingenic_x1000/shanlingq1/lcd-shanlingq1.c create mode 100644 firmware/target/mips/ingenic_x1000/shanlingq1/power-shanlingq1.c create mode 100644 firmware/target/mips/ingenic_x1000/shanlingq1/spl-shanlingq1.c create mode 100644 uisimulator/bitmaps/UI-shanlingq1.bmp create mode 100644 uisimulator/buttonmap/shanling-q1.c create mode 100644 wps/cabbiev2.360x400x16.wps create mode 100644 wps/cabbiev2/battery-360x400x16.bmp create mode 100644 wps/cabbiev2/lock-360x400x16.bmp create mode 100644 wps/cabbiev2/pb-360x400x16.bmp create mode 100644 wps/cabbiev2/playmode-360x400x16.bmp create mode 100644 wps/cabbiev2/repeat-360x400x16.bmp create mode 100644 wps/cabbiev2/shuffle-360x400x16.bmp create mode 100644 wps/cabbiev2/volume-360x400x16.bmp create mode 100644 wps/cabbiev2/wpsbackdrop-360x400x16.bmp diff --git a/apps/SOURCES b/apps/SOURCES index 5c49f0bbbc..6fdaea3ca8 100644 --- a/apps/SOURCES +++ b/apps/SOURCES @@ -298,4 +298,6 @@ keymaps/keymap-fiiom3klinux.c keymaps/keymap-fiiom3k.c #elif CONFIG_KEYPAD == EROSQ_PAD keymaps/keymap-erosq.c +#elif CONFIG_KEYPAD == SHANLING_Q1_PAD +keymaps/keymap-shanlingq1.c #endif diff --git a/apps/features.txt b/apps/features.txt index 4e7f986057..83c3f0a65f 100644 --- a/apps/features.txt +++ b/apps/features.txt @@ -182,12 +182,12 @@ depth_3d #endif /* This should be AUDIOHW_HAVE_FILTER_ROLL_OFF but that is only defined later */ -#if defined(DX50) || defined(HAVE_DF1704_CODEC) || defined(HAVE_PCM1792_CODEC) || defined(HAVE_CS4398) || defined(HAVE_WM8740) || defined(HAVE_ES9018) || defined(HAVE_XDUOO_LINUX_CODEC) || defined(HAVE_FIIO_LINUX_CODEC) || defined(HAVE_AK4376) +#if defined(DX50) || defined(HAVE_DF1704_CODEC) || defined(HAVE_PCM1792_CODEC) || defined(HAVE_CS4398) || defined(HAVE_WM8740) || defined(HAVE_ES9018) || defined(HAVE_XDUOO_LINUX_CODEC) || defined(HAVE_FIIO_LINUX_CODEC) || defined(HAVE_AK4376) || defined(HAVE_ES9218) filter_roll_off #endif /* This should be AUDIOHW_HAVE_POWER_MODE but that is not defined yet */ -#if defined(HAVE_AK4376) +#if defined(HAVE_AK4376) || defined(HAVE_ES9218) dac_power_mode #endif @@ -195,6 +195,10 @@ dac_power_mode es9018 #endif +#if defined(HAVE_ES9218) +es9218 +#endif + /* These features are only used by the manual so they won't break binary * compatibility */ diff --git a/apps/keymaps/keymap-shanlingq1.c b/apps/keymaps/keymap-shanlingq1.c new file mode 100644 index 0000000000..4745139e7a --- /dev/null +++ b/apps/keymaps/keymap-shanlingq1.c @@ -0,0 +1,77 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2021 Aidan MacDonald + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ + +/* Button Code Definitions for Shanling Q1 target */ + +#include "config.h" +#include "action.h" +#include "button.h" +#include "settings.h" + +/* {Action Code, Button code, Prereq button code } */ + +static const struct button_mapping button_context_standard[] = { + {ACTION_STD_PREV, BUTTON_PREV, BUTTON_NONE}, + {ACTION_STD_NEXT, BUTTON_NEXT, BUTTON_NONE}, + {ACTION_STD_OK, BUTTON_PLAY|BUTTON_REL, BUTTON_PLAY}, + {ACTION_STD_CANCEL, BUTTON_POWER|BUTTON_REL, BUTTON_POWER}, + LAST_ITEM_IN_LIST +}; /* button_context_standard */ + +static const struct button_mapping button_context_wps[] = { + {ACTION_WPS_PLAY, BUTTON_PLAY|BUTTON_REL, BUTTON_PLAY}, + {ACTION_WPS_STOP, BUTTON_PLAY|BUTTON_REPEAT, BUTTON_NONE}, + {ACTION_WPS_VOLUP, BUTTON_VOL_UP|BUTTON_REL, BUTTON_NONE}, + {ACTION_WPS_VOLDOWN, BUTTON_VOL_DOWN|BUTTON_REL, BUTTON_NONE}, + {ACTION_WPS_SKIPNEXT, BUTTON_NEXT|BUTTON_REL, BUTTON_NEXT}, + {ACTION_WPS_SKIPPREV, BUTTON_PREV|BUTTON_REL, BUTTON_PREV}, + {ACTION_WPS_SEEKFWD, BUTTON_NEXT|BUTTON_REPEAT, BUTTON_NONE}, + {ACTION_WPS_STOPSEEK, BUTTON_NEXT|BUTTON_REL, BUTTON_NEXT|BUTTON_REPEAT}, + {ACTION_WPS_SEEKBACK, BUTTON_PREV|BUTTON_REPEAT, BUTTON_NONE}, + {ACTION_WPS_STOPSEEK, BUTTON_PREV|BUTTON_REL, BUTTON_PREV|BUTTON_REPEAT}, + {ACTION_STD_KEYLOCK, BUTTON_POWER|BUTTON_REL, BUTTON_POWER}, + LAST_ITEM_IN_LIST +}; /* button_context_wps */ + +static const struct button_mapping button_context_list[] = { + {ACTION_LIST_VOLUP, BUTTON_VOL_UP|BUTTON_REL, BUTTON_NONE}, + {ACTION_LIST_VOLDOWN, BUTTON_VOL_DOWN|BUTTON_REL, BUTTON_NONE}, + LAST_ITEM_IN_LIST__NEXTLIST(CONTEXT_STD) +}; /* button_context_list */ + +const struct button_mapping* target_get_context_mapping(int context) +{ + switch (context) + { + default: + case CONTEXT_STD: + return button_context_standard; + case CONTEXT_WPS: + return button_context_wps; + case CONTEXT_TREE: + case CONTEXT_CUSTOM|CONTEXT_TREE: + case CONTEXT_MAINMENU: + case CONTEXT_BOOKMARKSCREEN: + //return button_context_tree; + case CONTEXT_LIST: + return button_context_list; + } +} diff --git a/apps/lang/english.lang b/apps/lang/english.lang index 4cbc6f30f6..f268434f60 100644 --- a/apps/lang/english.lang +++ b/apps/lang/english.lang @@ -12204,6 +12204,142 @@ es9018: "Bypass" + + id: LANG_FILTER_LINEAR_FAST + desc: in sound settings + user: core + + *: none + es9218: "Linear Fast" + + + *: none + es9218: "Linear Fast" + + + *: none + es9218: "Linear Fast" + + + + id: LANG_FILTER_LINEAR_SLOW + desc: in sound settings + user: core + + *: none + es9218: "Linear Slow" + + + *: none + es9218: "Linear Slow" + + + *: none + es9218: "Linear Slow" + + + + id: LANG_FILTER_MINIMUM_FAST + desc: in sound settings + user: core + + *: none + es9218: "Minimum Fast" + + + *: none + es9218: "Minimum Fast" + + + *: none + es9218: "Minimum Fast" + + + + id: LANG_FILTER_MINIMUM_SLOW + desc: in sound settings + user: core + + *: none + es9218: "Minimum Slow" + + + *: none + es9218: "Minimum Slow" + + + *: none + es9218: "Minimum Slow" + + + + id: LANG_FILTER_APODIZING_1 + desc: in sound settings + user: core + + *: none + es9218: "Apodizing type 1" + + + *: none + es9218: "Apodizing type 1" + + + *: none + es9218: "Apodizing type 1" + + + + id: LANG_FILTER_APODIZING_2 + desc: in sound settings + user: core + + *: none + es9218: "Apodizing type 2" + + + *: none + es9218: "Apodizing type 2" + + + *: none + es9218: "Apodizing type 2" + + + + id: LANG_FILTER_HYBRID_FAST + desc: in sound settings + user: core + + *: none + es9218: "Hybrid Fast" + + + *: none + es9218: "Hybrid Fast" + + + *: none + es9218: "Hybrid Fast" + + + + id: LANG_FILTER_BRICK_WALL + desc: in sound settings + user: core + + *: none + es9218: "Brick Wall" + + + *: none + es9218: "Brick Wall" + + + *: none + es9218: "Brick Wall" + + id: LANG_DAC_POWER_MODE desc: in sound settings @@ -12211,14 +12347,17 @@ *: none dac_power_mode: "DAC's power mode" + es9218: "DAC's output level" *: none dac_power_mode: "DAC's power mode" + es9218: "DAC's output level" *: none dac_power_mode: "DAC's power mode" + es9218: "DAC's output level" @@ -12228,14 +12367,17 @@ *: none dac_power_mode: "High performance" + es9218: "High Gain (2 Vrms)" *: none dac_power_mode: "High performance" + es9218: "High Gain (2 Vrms)" *: none dac_power_mode: "High performance" + es9218: "High Gain (2 Vrms)" @@ -12245,14 +12387,17 @@ *: none dac_power_mode: "Save battery" + es9218: "Low Gain (1 Vrms)" *: none dac_power_mode: "Save battery" + es9218: "Low Gain (1 Vrms)" *: none dac_power_mode: "Save battery" + es9218: "Low Gain (1 Vrms)" diff --git a/apps/plugins/battery_bench.c b/apps/plugins/battery_bench.c index 2534e3bebe..6c477cbd09 100644 --- a/apps/plugins/battery_bench.c +++ b/apps/plugins/battery_bench.c @@ -234,6 +234,9 @@ #define BATTERY_ON_TXT "Play" #define BATTERY_OFF_TXT "Power" +#elif CONFIG_KEYPAD == SHANLING_Q1_PAD +/* use touchscreen */ + #else #error "No keymap defined!" #endif diff --git a/apps/plugins/bitmaps/mono/SOURCES b/apps/plugins/bitmaps/mono/SOURCES index e6a24b2bc5..eb00bd9e8a 100644 --- a/apps/plugins/bitmaps/mono/SOURCES +++ b/apps/plugins/bitmaps/mono/SOURCES @@ -19,7 +19,8 @@ bubbles_bubble.138x110x1.bmp ((LCD_WIDTH == 176) && (LCD_HEIGHT == 220)) bubbles_bubble.220x176x1.bmp #elif ((LCD_WIDTH == 320) && (LCD_HEIGHT == 240)) || \ - ((LCD_WIDTH == 240) && (LCD_HEIGHT >= 320)) + ((LCD_WIDTH == 240) && (LCD_HEIGHT >= 320)) || \ + ((LCD_WIDTH == 360) && (LCD_HEIGHT == 400)) bubbles_bubble.320x240x1.bmp #elif ((LCD_WIDTH == 640) && (LCD_HEIGHT == 480)) || \ ((LCD_WIDTH == 480) && (LCD_HEIGHT == 640)) diff --git a/apps/plugins/bitmaps/native/SOURCES b/apps/plugins/bitmaps/native/SOURCES index 48eb18dfa4..37d0060213 100644 --- a/apps/plugins/bitmaps/native/SOURCES +++ b/apps/plugins/bitmaps/native/SOURCES @@ -158,6 +158,9 @@ jackpot_slots.30x420x1.bmp ((LCD_WIDTH >= 480) && (LCD_HEIGHT >= 640)) bubbles_emblem.640x480x16.bmp bubbles_background.640x480x16.bmp +#elif (LCD_WIDTH >= 360) && (LCD_HEIGHT >= 400) +bubbles_emblem.360x400x16.bmp +bubbles_background.360x400x16.bmp #elif (LCD_WIDTH >= 320) && (LCD_HEIGHT >= 240) bubbles_emblem.320x240x16.bmp bubbles_background.320x240x16.bmp @@ -388,7 +391,9 @@ invadrox_shield.22x16x16.bmp invadrox_ufo.16x7x16.bmp invadrox_ufo_explode.21x8x16.bmp invadrox_numbers.50x7x16.bmp -#if LCD_WIDTH == 320 +#if LCD_WIDTH == 360 && LCD_HEIGHT == 400 +invadrox_background.360x400x16.bmp +#elif LCD_WIDTH == 320 invadrox_background.320x240x16.bmp #elif LCD_WIDTH == 240 invadrox_background.240x320x16.bmp @@ -457,6 +462,8 @@ jewels.220x176x16.bmp jewels.320x240x16.bmp #elif (LCD_WIDTH == 320) && (LCD_HEIGHT == 240) jewels.320x240x16.bmp +#elif (LCD_WIDTH == 360) && (LCD_HEIGHT == 400) +jewels.360x400x16.bmp #elif ((LCD_WIDTH == 640) && (LCD_HEIGHT == 480)) || \ ((LCD_WIDTH == 480) && (LCD_HEIGHT == 640)) jewels.640x480x16.bmp @@ -546,6 +553,8 @@ puzzles_cursor.11x16x24.bmp #if LCD_DEPTH >= 16 /* colour versions*/ #if (LCD_WIDTH == 640) && (LCD_HEIGHT == 480) rockblox_background.640x480x16.bmp +#elif (LCD_WIDTH == 360) && (LCD_HEIGHT == 400) +rockblox_background.360x400x16.bmp #elif (LCD_WIDTH >= 320) && (LCD_HEIGHT >= 240) rockblox_background.320x240x16.bmp #elif (LCD_WIDTH == 240) && (LCD_HEIGHT >= 320) @@ -603,6 +612,12 @@ snake2_header2.640x480x16.bmp snake2_left.640x480x16.bmp snake2_right.640x480x16.bmp snake2_bottom.640x480x16.bmp +#elif (LCD_WIDTH >= 360) && (LCD_HEIGHT >= 400) && (LCD_DEPTH >= 16) +snake2_header1.360x400x16.bmp +snake2_header2.360x400x16.bmp +snake2_left.360x400x16.bmp +snake2_right.360x400x16.bmp +snake2_bottom.360x400x16.bmp #elif (LCD_WIDTH >= 320) && (LCD_HEIGHT >= 240) && (LCD_DEPTH >= 16) snake2_header1.320x240x16.bmp snake2_header2.320x240x16.bmp @@ -874,7 +889,8 @@ superdom_boarditems.176x132x16.bmp #elif (LCD_WIDTH == 320 && LCD_HEIGHT == 240) superdom_boarditems.320x240x16.bmp #elif ((LCD_WIDTH == 240) && (LCD_HEIGHT == 320)) || \ - ((LCD_WIDTH == 240) && (LCD_HEIGHT == 400)) + ((LCD_WIDTH == 240) && (LCD_HEIGHT == 400)) || \ + ((LCD_WIDTH == 360) && (LCD_HEIGHT == 400)) superdom_boarditems.240x320x16.bmp #elif (LCD_WIDTH == 480 && LCD_HEIGHT == 640) superdom_boarditems.480x640x16.bmp @@ -911,6 +927,8 @@ sliding_puzzle.132x132x16.bmp sliding_puzzle.176x176x16.bmp #elif SMALLER_DIMENSION <= 240 sliding_puzzle.240x240x16.bmp +#elif SMALLER_DIMENSION <= 360 +sliding_puzzle.360x360x16.bmp #elif SMALLER_DIMENSION <= 480 sliding_puzzle.480x480x16.bmp #endif diff --git a/apps/plugins/bitmaps/native/bubbles_background.360x400x16.bmp b/apps/plugins/bitmaps/native/bubbles_background.360x400x16.bmp new file mode 100644 index 0000000000000000000000000000000000000000..46d8133911110676d215c972fcc59800061e31a5 GIT binary patch literal 432054 zcmeF4cU)Unn)hdRk~nd16l2`64Yt7zJyWbUp-{1dEUV3=vr~BaVekc9?{s(4i_?v+e2sD5G-h#}Z0}Hk=4z)B1 zwKNU|I~LD@g;^Mdn;V6i83GHpG>&(%&w%-+`g%q?+GYm8N}|GQlj0iFk_cI8q`WL@ zVJ@?@puN19UscvoQz5FW?rEs$!`2Vr8V2!=!_7^jMEqDQew@@iNp6u^8*!S_3T%c- z`m@nUGc=NfM*h&|7?i)e&C=WcioO5uKmIb{h}6J${&4&L65b!&Ic@iIe*7WtKmYv+ z(^S%KQS6qka_qy;xbzz*Or{Y?$x#=7o$bXRSa5M2QZ927e! zd{70TB0zOC!jtM#;;Z5!QPE*VVS&hC{~VZark^L=+da+8HQB>C(akZ|$u`Q~DiWBT zWw@v}<*dJfW|G^_4HjKZ3Mwq2Zn5A);g>kr*NraVgxP=j*2RIVrXak3MWd}ktp+0$m z(2Cf|`jmvGjFc8cIyoOfFUn_?7V;`f1=Xm|+NvH*jTl=8S~zIuptXZG4{$L-mJ$PW zhaceLN0fNqok5@4-T#%L@!=PE-@$+K;QLqq=Fa=Kf4KAh0>Qz*_ymBO4*>$o4bTIW zApi&{PXJ+n;_v9uPy-4a6gwzi8&3S|TnZm6(%BDac_IBX?y+XKf|W z7~ob2G{z92aipbb?0aIo$A$E+`oX>U!@l!jpZakBe~KF)eurOq|2;-_%W9V)V7nti zb^s!kA5EZ;K~aMO2gMEwe^+>b>Hw7jss>aLP=hszu@y1lr4hjeA+X#4KdI{Y9vw;U zPC#`;@1n!rYFBmaN{$az$Iof=e_w9w{zoZ2r0DoBapNaB@Zl%?_^#RwhznI9S1+>&Ce`rucfKLA)}cKKViZ;1&j;fzRCaP4d7! zj$2V8M5DUus(LZCz%kkNN~FYSB>;`_o*28@{(X=5`91OP&W*qLz@Pt3e*H7w7nc+u zJHE`H>Cs96g}kdhK(T|u2UP$n0#pa66l!4}@L51Lfy$~)imi-|D2)s$3Jn10$bxvK z`?#m>I4nO#$9r$(zl4sRKK^&^U*D^a4}1Mj?*H{(|D#udDEfgz^n(+?OH%s|S>Pq` zAEhUJ@`pd{+UK9`0rth7@9gtEpMUZB=X*c@V&4~g_kFQ%|K9xv_8&NS;NYQyhYlS) zco=NK&(5ER4<9{#R7UogtelLzoa_lXS$SC*IhkX!$BrC3eCY6z!@!Ol-LWG(KSz%o zJ$6)Tvd3i(A2@L7^r^=;Z|G>K>)ySitD*k%_RV`&lx;QdWWu}=?zV8fhbdYb2nX{b zUzhSQ|GLCjerZu3re26|Vo>NEyw2hNVadeI>cYy4waqu1+h4zU@y(0vKW=Y-ySe^u zZRM+_g*WrFUrMH4PmaAD8QkpaUhNWWbnv$L?W-*6d|Qj81v}MLH-$k@)>V#F6c3c- z_98Pnvl4m95wxg)=3wszh-0;vZH2cjI>ZNuNNXs_@z;G^l9~+n^=w7v0FMLooxkXJ z{~Uk*947o6b@X#SXZM^B%WalU`STn9vv>}ZI=5rxs&fC(2UOQqg*< z@>KhZwvMWf-VHtdTl)I9^>uISJ-el&bxT|6z%5{>_9~w|d_m@rf}G5WQ;KIVU$}DR zlIrzqx9{J1r2WLe)X37=-V@>-6cG}al8~8`Sy-5lLY351qZ(?funjeMY(opKk=Wcs zCK4#5W@;Oe-quQ|kQh`llS+~r*r&8I$V57+g$B-P!L<>vWNdxQ{d<}!Dwhq7bSH05|@)wej`Z%G;%dujVALrzT#G4Zj@j-xiBj1e~RI#tM_VL~WfX zHUVf1p-}^<;z3lQxDe5qlg3MrXC;JDqXS5xK282E74FtW&Su5l_E>m4ABQ2Mt8${k zL+z}~6Jq-aIMB8~Z0-N2_W#_k|7uM2?{M0G#*N+Me@PF<0*ATCWi9dAmj&GA4uB3J zK*zecdvid%H9YuYT#Ak_chRv5(6Ro_)&^)c@3uByt}HK3PYrZ+G07x5W5Wc0-!zCv zjI~jUgDKM6p)$xDpBT}O%>PzY373yn!)vdAn}8>^k#{-Loc?cgAj%w&)lG$M`COd;dih>fky_02dm z4uis=OKQsV%k$y+@YwX|pctsTzq7TonZB9!!)JGI-Mex1#`ofnDH=PRHg!C0CbctWPG`-**73Bd^=ac9ve#^$ z*o50e1epXw4WPa{KHgg1-cP)Iw0wM@`ub}7L3RBDjRJ#Bf`iP011*AJmH`lRe;+eH zO?mT&@|F+e%nin1XB zPSi@|GTX(X-pS#yCCS|8((3EY&39YdQnB&!#hA<*jSjsW z=-uw=Smm*2X{0G4ehQD7!PieUR1Z~_^p)iI6(PEF;ezx;c47ntD2)&=9L%NC(;Dey zTHtBdm>Me}H1b=UOX0~-v*(#XFn(Pfi247Y0>w{W>@UN@Pkz*o4}SOIf1Mj2&|^n< z(0(X9ILkubN~d70yHkn|spb|@E<=ttR?oE&=5`vuJYbZNx6r|#?-DNcie`Jd z40W_moj7q-Jiqe(S3a9sd zzVGO#hk>pzIQGO`PS5s)v7@4y^JxpWv(_HxZM~H2y)QZd^SS5<4D5JavIhq}&f7gZ zq50(M<1p*6FstxD;~dYVa3?jQg+ zpzc*Mq1g1)jw;kp3%-}qCgAYJ@Ev^4GbnMc^6}n`WA^b41)U20el*>@Ca|<)jJI6Zol7%-9fO zh1d2}w`xd^;^8nnBB3NL*;P+F)Y*ZCLQRl~pjH34ssEfK@B7-%Ir$&x zocGuDlRLl0g}irLc6^o{;Q@S>9dvXFR(H`MRUHFBb-dnH9ZL&9mjZNry|Mn)#@g1x z{M^`Re`g1S+-mYzGc^#J>g}9tZ<_0BS?cRh8|+O^3g_jeiBW}s z(WcuO(_FShz?~O$2wIzOsi^{Y{?YwM94d#$0Jso#w0CrIySn+^J%XMdVUKhx=;`M7 zh`6FIP8WC;oCD4TgaPt{)=Vd1$@m&V0}4}FSec)mpBj@I4h#2k^0G0tG1NAEtno-) zRsG`Gi--3gKJv+-Tl=pW90$bEw^exVbjsZ2td-{lJ0B%Sze`RKWf!Qjo4>LfY{$Tk z|7BOOg57#5KnpPrjl1h@e_lqL*u2O10@B-k`AhbaJj;PbjV1U+5CUQvfw)Y;eF z*$1|r!rl&kkAN!z7~!!ynlQ~*uUtEP_|OHVb6QUy85ruCnHgGHn^;&H8yo9Cxvy^b zKs^KMneAeow!@8VC(9Cl&+7Pyrc8KeP1Q(iOCOEW!4(XO2WG~nR_7OAuC9Nzx%F;) zYX=%z-)?Puv%V_j#=PXs%;f8_;m!UY5ZPGau~*o%MG8^U+&I_PGRJ5eX{;S;s2Zp& z>B>!KCq+=A16zW8KcUUmG?VUeni)#Z2VYi~E!Uac%IPftzs z_jk6lAE;gPH8;)J=)#|*SI4U(Ei zXykDgeTv7K67tfbA}(FHuxHPnKxjZ`dxuC$jGo?(-oCEBf$qV*^PG zihBgTBA%#|(}u<3ZfLIaSm)lYd*@D{ zJNVgwt6yByIihJQr(>;P`BE&2hst@&jCY2n39uPeU%m@}}8WdnIg$D3YyiI&`G;~fWXc}B_ zd#>bZa?!^`$;bGDx8ZqD{WGr56rG+Z*lNmKs2?*>IdK2zX9{OepR>1i_V){pk4`~k zAWI9Y05=F&5(rt)DQrLtATR{nu1;|IoqavsgMGb2{k_Be;vMT95_b>whz0;d08jXw zPF)?nJ)eClbNH~z*|Qo~lr`0^0h#ek{kGPfTaRvDzoUG~_Q4%E)FZ>iDplt}!Xx!8 zN6TWES9L-p0g)-HLl2W%`xtbQ5ClaBB@uV<~3zszAQ(#f+#+$6Scu8p`Pb19Q5 z4U;Sz!yFe&Y(`QymDE&TVt((o&fVLM1^Io=ILR+n8lVTweqg_9hx+@G;pcqq|6wY= zr^jxvbT`}~jgU_7>-t)7gKfkS26ckR7Sk!d zE>244&mB8@EF&eOSJ>O%)j!xhIMh2l+CMrvFg7+Yw!0na8vzFaO!~l0R?yqY?E;<) zP#x4}T6057J*vJeuPh@mGdeg1;tsVlw=;ZZ_(5F4~F?AoOup#^la%LxsIc@-io_%xxn=W8o=?!o2dDN(|se zTPp+j9ee-{UU#QZ+|xDCCmtT`9~~YT8y*}V85##$VBpArc(|{3xVx)AC@^%-XL}Cq z-*;MG?%IX(>Q|KSsa<<`Q%&pk%_p~SXo6_$AE9FrL`pwI z$8LsYa%>kJZkczxOo{IB!XQc9j?QzD(BZ%i*T5MHsTnY4kU+=L^RgbJs~fD`O;;41AbUMJkmEh zHZVRuG%-0cIW;;pIWjddGBGwd4gfMN9s%eOck}~CM#vI!Xk5Szd>sK@f-cA^OpZaj{i8_{0( z>7$!!53Z@0YTRl{PpS>~&$2a2Fw;(RG^w@; zIMVw!Zv5H+VN#{R()X(4Lx-iWXKSb*@!UlA2bJGaD zZIahM(saaWp(e#8b6k^c_e3jU&j2tjOmRdPmk@FvoyC!OU^1O09jaEYcrKe z=K^ksI{Ny0h6eja0U}06CdWso#>b|2YzpiHfB=#Zo5@$MTvJoMaYN><8>J@e6%c|$jn5e6TJ6T0r7(_h06?8{6-qs8O@jxZUU~{sC zn7Uy)bwt1$7WV@uWp#ex<=Wbpn;Y-8w!Q(b3fO`SEC_C_EP-Uo+qs!n6QdvjwCw=lhT`FwqLT2*9VvW-!yy;)^kIH#$h zy#=2c8K!wv8C_IJYit;xkY;uqjbFR{|GHkrKhM?uNOkNC?cl9;Nt3f1JLq^ZHvDRG z97xkQ3v+K*mfo(dez~%=IVX_}59Ot$Ji4yxp#3=A-@72ds|?~=>|tFF#`E|(RR(xg zheB$jLTch7>yqP|5$UvIB&)JafTTCO`lztv}sBZvpV`Xms#mXx1 zQ-I$1_66`$q`?iKH$bH8tHn8>H@=)41Id*2?#@*KXMxc+)zUQ4h#svj6PM)n)mBUi zIBnGx4^^)?n3-r?I$xQZfQkx&yI7aUhOrv!+KKprlmx@uYS%8DBZ0wYOCR^NSPXBi$k{g`#~| z-ALnhtgC%hOmLx>Ez-pT>1I{v?UA`8I(x@ zXTDc7)!i8p0K2551jamqjyyL#2W)m~b{0Sbpkq=pJwCm|jq%~W;eJs+h>mh-959dr zTZ1jlFHKL%2oH>Kcl0pUH&u{RP&=q%A+K+B!bs!r4Y@tX@7%nTi^!$4PLIue#or zyY6T1o9vYYR7a#^RETY8pluM$HqhT1X0PXPQdV=H4CJI5Qb`l9rq3Wip$>qf<<#h#(oHibf%m@#SIHhpr#NG>P$F%OtnX4Ura^mP|e@IYq zL0NqbmPi02Hh4l_S5J4}fOu$l5cnyh;+}p!SIAsTn9beZA*lA<-G| zJO?wU3ySB?oKd`V>4NGtmD{(k-@mK=@V>^w`*$B}+`fP5oXyjRb`NjcUb|>{`Mj&{ z(*Rq`IEYV9Y;-*`udSw<(@GR~iDt$p*MQzwmFkVR(iA)p8=JsSdAGI-ZX93E&%T-v@p@Qc&;Qdwkk0iogB-; z)^S?!$m9h5o2u8&pKZfp_!M$Khdm+SO1P{!7VS4~{3DgXe~OMB)d5D60(5|pfm0K} zVfk`l?#rcxR}1s2(^HfEeO>KrauYTt2&Q{WtuQLM5aJHEHOh1_$#StO3ifNvPQ&FR zDiUMR@FYwoyd^K2Sy9@7Z5X1Fr}&(?p01UlfdMYZ*4*s$DMc_^DJ>-p5Mx2I0O$dr zF*PK-4P&=oswp z1wD*gi>@okFU-%)&&tSps-=Bk|G_)A?wJ`|fuu};f2f1Ci_$5jBL@!39zAkG=J*+T zxpOBKlujvLI&)eXn1Y<9s>r8G__*q#LLR$) zW_)6EY5DaU2#RjJ-Q4(kmm3@F@74h~76CV2OQv2);~V0&?v5os81Y4(AYl5@<=rK@ zyzCTCb~3LtpIDS{bW82xN%PCIAhc>sM9Io}yqeLMml|$C>Er zkaTy>cM8BzpWo2&kHih2seXWtodKoNSO;$fI4r%Q^&NCRzU1+aw3C~Ft1p1-B>f7R3|HRYFukYX+>sg8VpjBoPf#AZpeg_ zijmxUbYENR1eZP6-MKP6urW0*Vlf`v)i|Z7c&oRZmCJG$;s|18Ia79xKex>fln9s zdHG#cP&PlQ=Xu`F_o6go8*~+fOr?32`*OFPo;ziSWWxi}<9!pNJfeb~Lp{vBPRQQ- z!$J4G=d$E)5-vUwTsIoAd*VC-+O9hY!8UxVB>0KYIpmJ`k}oC4({6rW~m%Md{_#Q zcJLQ??D=+vlpdf#{?kkSf07H7&S&{yn3MwsqD#>M9G36UG5lguijEgE zQ|puC3nN3L-6A1_)>2>R?_`%17KDI$gc@mu89&YNa>5tpRA<2*bhJQ9E-yN&9+6R> zoB*OKGkh9V`^gj8J~1)V%LPYR8umfFhNqP(KigwzmNu$z-R2y2|!FQnR9#hfjM(vs@$<7 zM`ey5mzR}2apWMt$gva0j-NbyMB(7!3+I(U@~hRAH(=b(`r21OX{@d81UKei&CY-}0B#~+S`(Pxw9I2IFvybx%mBKapPx=n zifjyn)C74q!{eECRe5nyH_x9wBYXV%`7^gJoV$JTJc!vgS5YN!Cl=qbY3bMEU%2J;N2oLkK`VUm^r-!E_;&M_#QX+k$lrLy}ve#|@skFTp z8xCFPp13bQuQlYP@9tv;3$crc0eS|g9cUiFMWB6{$zuzpQVpTTTHG6Rqqu>5pr zZkk_qvUg^@J3QGhQ|r#N1D_qaq;xqfBqBezu&M&xgasqcKl;tr#@g1?-7_^Qg-#~V zjE=3%&AnJ&dI_Q#tIKcJR^EW^%F>&q#n4ms z>+V|Y5iRy~Es8o9yM$87A>c0X+UGf}IX3+_)$tD_x;{h)hq(ae9qgcEc?TV!sjLt5 zZ43=;jt;MnjjRk0&i09i1bi;JHQ3!19vqPB;~H$L8)jk9ke5lWNBdfsSUi1Llo*>E z5|AGnP?Z$dg3JK}Ilut08GbvM?6x^Ry1nc8EX=RZN=Ue-hxhNv%E$oKfkL5xt1{R( z2=23V3cVTETvuIJlwSl-hDU}*`g-`K2tfX5^fg(#6y-JBF{g+AfT`) zsJJ+^v^2atHy}3wmY5ix2&Ug^+}5zswMB*$mPVGBhL@FvmX`#V76ud{Aq6=;xf$-6 z$&M*;wh2+zF$wO8#fc^4rnZL~ns<-ha5`h*qqM6!Jp8Y?K2o@A^3)iaT#yl+8SWc# zX#btPa>2671xK#59KG2kcX#lV=Ag5IyPsuXm}5+WS6ZrnR#qU=&D2Zto@R7VY-Jw0 zp#WDBTUiiZUJzD}3@OVGD#?KrrbBX5eX!YzNFgPL*N1qQ`Z!fZ1p>WMos)S->0FS9Ta-UEJvyo+Goz`z zl!?XkP${EaX)YejJ6MnsqhqmC2qrN}p~2_Ozt5 zIt42r@760?8xXG#^{)*LtPTw<4D?NOb&BZJP*1mTcb7zOwXQt|_DmB#A z!TJ&)ISWF6!vn)z!Y&$_ifzE6N>N$xtmyD)s1NXpob;aQ$;rxHJ9`c8k)8v|&G#=T z4k#%PMxnwhDx<0@qpQoKP$iLN)rsiZ)H-xpZEj+&gPB7HEUPl6sv-uQP*o9LQ67pa z4Ja#w6d}BG)7>(Y98#k#VnR(L!fhh+BawvKW&>^gr^oNRoVEnR#z3ki;HrnOiqn;& zm;9Xla+C6$tz7o)Gd+AJ;gnkCi5oQeJ7T$e<0tPAx)^vut%4(*)04(1<- z$j+;*K>rHdaBy(;@%GC|OJ`E3(<38G)6)Rsn^GM(yE!|vJuBIgOm9w4u8of^j|?sJ zi$M;3p-VW+YoBIPC%~#ujWwNRNNRclE+(idz`el3t`Opi%}%S!M}X9clA?k%?iv$= z%FZI7$~d?tknVD1ti#;(%X4RJ6&y2|>8xtssuzWgh{@k7`vo=veLRT^s0I9q3!`@0$j5C)iAIPtm@8!_7b^-rKD(E~*t>5$)lk zdF7IW&f|jE$V`8q{18}8assg+PtZ^^MkCJ)d0_gjbehAglpC9~lC_!XrK!oOp}_(~ z_Bn+U2S5Gv;QoVtzJ44Qhe2fkZj=_5rX{6;6*+*1Vqt2bd`acbr90`a8AbjjrGe!Y zAyw6pH8nAHwQ+TI3H9~K4JA>fFgsYRS8PsLZbnF^@iSxXyW07o1+|IwHHmfTxSHzd znyQFuRB#1AN4{S{wg&?4oE~SB5N;e9Xc!c17Lpx|Xh1bwzo=>=|IGD_rT2MzFxC_d zH1)V_cR~I_C?rhlf!=3(9Az$~D_qAMR^=YJK6FHVRQ}F@tD(2QO=zTRd@3{x5n50f zRqkU01?z!W=voE4h6Or>_}TjBMif`4G*l;JDii7}J+GT<33z>VRNrO8Q#vl!>v`@ewIB z2KqoG6AZtZ5^%wUqk&d@FSf3ux{QU)BqT@GghR@puKAv}NQetAH=T~DOOFTvQ)A*_ z{)o7kDnvH10>#5&`zYiw7MSYTE&*vZU_8zow|x%yCLHD*`?t90N3ZUW2+R-AF~5rr zUi+eeyVSv77ImzE6smMpjh;nuw?x!A*v{e;n;VJ>!4N@XjoWd4UK!!RZbmv_Y)=xz zI}7HU=Hpfv8i0W((@G0^ni{6r^c9g1OuT(HB^?&BJv*}@nO>fnoEse(?-TRrG>E0e z`GW_Je!gel7hh;U)y83(TJXe*vdZ+7^pL<%4_6N`bn2eU{X8FJMNm~$aCJ?1U41O3 zF%jF8f@?~{<1+BLECN2OxgIPf6;%jxfSEouGk9c}9g1ko#A4u$^(SK&J03l;vJtNn*=44%B(>uTifZyOrzo&XQX$%`y5NvJAML8k;} zKpX>L&cSurI7~L7F}nqu-Ga#^G{6ZBX@t6DJURhe8P!l0T3Zr~E`(LuK6QHbRHv#E z4SMuPtmvIvlC!gipRa#LS_XqmogN%o9v@$sm{=YgTLw|A(cz_$p~azr`GLN-*~A z&bBGO?(xpHX`apnp#hB+ZL){_vT-YjXjSkk^9GZN_I4aG8WAIKCkfQx{ub z6IojohA#ClFYqbIa?eh7OpUdO3ws^`(+%)`;_LI&7X`0~42imPSPiV&;c?E!=c0q3 zvJ;RT59HN9|5W+laqo*aOB8Ojexc0YceO|6R?oQyJ#Npv1MI@$ypn-GQW#T)N~u9- zU>b68*!<=uBp5D9CLqbpNJ*o8F2^Cf3I{qoZ*ZVGRi1;zz1l zC*)7;-*@1n)b9r(*2Nhtpaw}#Nuv>4$Hl#p!NJ+V0ZD(~Outyt*CP@4%z#09qRxp< z!6=_Q%whF2s6A~&Fn^6-k7l5XDadR}R&rB(XhooBo~JFs)v6*UL`Z7JRiTX3Z(Kcp zHaR#DnGj!>hiI!T7c^l&V0?tuHo>G#vFOt*`pk|=m^6u$7{5W|A6akgHmaRi#|}Ce zAh8aj+`H%iac|J^rHM09honO=#$k)dt<<{OdN3v_HQDIl11DXrBpKaJag?=j zn!96hctBG|YI{W~m{u*jEeUrs)Az>_O$D?p?WKB=Z!cR{%P^FFANA+v}Zr za&YgRY2J8xe4axb1@+7D%3o8Pr}Xxr@{yz%_E} z(e#Q^VnI$*W->N0q9)wG1mc2lwajofL&b&&hy-+g&STXp5#FAuAwfmSiJ1HxY9&g5 zZ4eU)!xYjujWWrgOfjg_4C;*3D3b4|!+-4S{q6HVdI@~5I;45A9dv-;6^MH;3VDlQ zj9&*|BH)d&nLXrIMtv=&09gu8wby$F5Ap}TNtE^TU}NnVo99{H&XrLiZF!lZ+Nue# zM1z0>7PEZ`Qo0kP>l0&(qa)LU{a~V8H=hTbl&0DmKNDl1Hvl>ePMth+=)jTBKRf!x zo=^YqFJL*|Ys%L=bUiR(jm@z{Qeqo5jm|)@Sb6Q70$zI&msiT?R|@%60&X>rgXXnY zbJ%DOtBTF6Vlk^(^hzeRoK7jG0V&dgXl>4F!NCblNjOYgV|8dv3A8-lyCB0kE72x7 z$}}d}AQY0LHA)Yd zvbVbw@AoS`7GKxx@wR}5y2d0!(~(i7m1%YLIe2_AsTDKA;~54=s}r}UCUrMa{o*y}x+6{a^Xa-(LDCCGZ#M;IgFX0ONC{@o?S@uYHt7?+ z?jRC`EqERt%fQr9YO6?9sOHjQTwz{)c6xPM0+@eP916{cx+6U8(w)o`Yz*RU3`?Se zc|?3gPL}pfwRnh6Zd61SJhcTpI0-y5sj;q)&@=>|4n`(|#xO}GO;O1+;OS}KbK_%Q z>~Ej{cPxPq7cuy$eX2BC1qJ|1RR>d=VFxKQ5D5nvd@g&8NfVQZ?G3f~;zATW&BaK+ zI58&G%PGuEH_TW&!Nxe>$GJW>l#!n;u18N$TIP7|AStssJh(nK3_|phf&L+o%;kVp zh)Km9k|}EmVOa&K-4_Y-7?tQJlu;P?r9qCY8VvN4R+NGc2o`s%KO?2 zMYL=IC7nx5VirTuf5(dc$&Ye&aPfBcOp1;rpwU7yz(p(YGT1mQtFfM5Uqi1$(`u{R zs!?EeJfXC(u^_i04^fwyT9F)E8XblVfn-BmGd=9!&K5~_MlqIpiB1;i^h6=0wJa+` z`{s3cU_c?5I+v9}E-vI$S9D?O`|wz>oaqP{HcTc?g6A+&!BZE%dnDpde!cexe-j(; zi{gLD&QG!82g|QXPxuZU43ICI2U7`ll4!f!=pzw%n0jJq5jrc~)5NGWF*d``J<8G` z(o6?DC;{p1h>Zs*tlO?tU>c1oXyiznI>PuS1nrmzH2_&}dXSA{}m{ z_4ujc39#bcJvrG^hYlzmJ$yncHvZtP(qKP%ppUr25L{13T^GMb$f@AAmvZO@%(iR_ zDZLG!(A*G#t%OwIF%;ao^2e-NDZQ(t;tL+IzzKq@nX!OScO) z_vJMH@R|A<45aN48 zEd#xzp}w|}{ZN&nH{7g z_Q!4{PWDb-uI};S5%r~|Y+MtM(8R$uFzah6RTaeY5?pZswlE)y%&E`Ks>y;^r6;43 zI)EEF0iN^*G>_Dh77_aNrWztFxFR_LpPNl9 zEe6w%yD@eBxW=Jo+^F=CNi93j_*oBI1km`68y~wR{DRN_+35IQa_n$J!exWN_y7>J zSWFwLv@Sc-+swE$DK^v3J>J?V+Wc9Xy>W@JQ%ig(7nw0wS20f`E^%2PnG42`Ee#GJ zl9SY~UR64O{;G<~byd~dH*e@Z)4p}-;vG4e2Pfoi$sAKSxL@(m{sW(Vdg|Dzx&VwY zL)4us9w;6fsTdotnVhJfoNSz)!p%$(B$LFMnYLL8b#{t6Gew)8q)$)KrY7ievW%YzQ!fI4H*TiyFFxHJySAA*YB-&t*}w=p+ykj3Ly9HkA03XS?JkTc<{w#)RmH zLZAA(Kk#%=ceGb`EKV)4HMV{xtLb#c+*Qf?;fZ^n@4a*3O1P;t!Q7=w({}Qi+p?Me zhHLb8gj-xjP)!K;sOKMph8j2mxlo}#qA zf6UO|{KCI+<8Kzx|HuhaZhZJer|`r!3Pb9ufB}Ad4&cTliw?r$ zU3e^rdSP>Od@M}MlH#)=9fg zIVs794j%aZ_domg?mc?s$kBcKWcPldxNq;teS44X{o?4}&-Z_}$Nhh1-n47~c%n|12hzkqkr3LEpJbiJIxxBzyTwpKGwJ*%F=V#cnQ_Ptu=JW)8 zYLq%L(l$0k9O=gm^kVu%HQfSK2Z*LJa#^%=Msq@ILpT-%smOCj!tK*z&Ei50!vHrt zHN6}(oUCuyLQJ7~S-E%Qt~;DDb-QTuR8jNbf!miUXGzk&EMYBA(U+&GE7O#f zsn(^*=EVuz(rCl{aE)ZJdPZC}(TN=S*zLi=#@@rxIW{=BIxm-8Sxzh~X)4HX$jL@$ z09+)MCB~G-MHWYg<%9-g2KZ$_y}?AJ6c5J)XX`izvq&q0P}64-X4+tmU#x>^acme9 zThDI6r$>eYCnYZ;v^ph;kds9#Dd1I>chy#dCyfr`8o@)^z{44Lq49IB?)ygg3)dk1 zIsf9fbAE9N{8es9Bi<4~58$nUCe$s;F_E`|NsLldRbGgY(mb&HFbNlzDCxX)E4Iz&}rvCkd3FJ z*t~`=5utyeZETn^HPJpd!&{o;tt{}@7KQ7Jf{i8q))IGnp?zzCxiwGQoTqHgkv3+D z8xs85BxYrzercq7zQ1hx7gy-de50L}jjNq~1k@jukrnBk+K=TBnoO-kcJ8I8597JN=Z ztm*wbw^S~nlH#$5%(fyV8&xW-0gr^P1y7I%k2|0I4H_TBrGI8M{ck?)J=lM|v%`%x zspJ5I!8m{$Af>_uGYf#^7-vxXiOu}_nzoW67ZW3>g$W|a9|84>wla#d(93c%MF)6* z$&$jttik%K847WM#{%;o!IEFu3Gv{GsKrIa8><^Diz{HUukqpWo{pZz+D5Q=pz2js zu%6HFe)qe_Do-epw6TK8nTomjnx$3D#s+?Cvw3@q^kSR#@&)td3)ZU_oY$`eU%nK4 z`9k=5yW{0n=ZnqGt&NV&wT|@_Appqo0%vKCH9te2ouI8c~^mS5qw!Us-p=Om3lwfCQ{ru!JzzwU5&(9pW zd**DIzIj7Hs4ysQDmHtgu=;gV>)Y(mf{K(nTt1177IZfC^|y|VF=l4k7w34Zi^7fN zj;+cD!B}zS$6dwZZ>to%3d${br5vW`**4k@#XBzdeiHoT^_Psa*Kj z4aVNm+SS%J%-6R#H3gH8z!l^It4>SGj||BS@=JwyBzQQ+y4uD#SVh^JMOZ%%wa^bS zeHQdwE5P6}L|4;CM>EpKs4ymkj;UqtK*QvoI#}Hlm70vr&morXy!-#-7pSpq-C#rq>5=naIWlpbKt5g5w>vav$$f{-`M=S;B}Lu6tnrjCIs zZOqCrd~nZR`%y-qU$VPB2#u!N8dgA^+Y&dTp&?a|`$KMa%2g zZExPt-o9mj{f_(1*Zgna3BP^U`R!MoUw_&8_OiP0T_p7b07n@z%8=YJ0 zf{hj4+9G>tj=3;HogF7mj^M@z>xada{et37R(3l%nTiQ(LittXyA`C`q(vLY1nGqM z0B+oIvASVna?LEdqL=I4uQq$$Z1=w1?tZr|`uauJH?O+>^h)@r z*MdL2=Kbkq`?uT7uQ#Y~S6W^#;$O{UU(D34|2OOOZ@%5p(%Q|&Hq6HxnV3+Morxw09?%oEA0-BM8jE%+fKVQe=L`m-aPyKV1pe*fQ2_#ZEU zzv8M$p#h%11`O0Y$jO4?qd;;9d0>*-42LyJCHH_agw+*b@>*4LLYR|%Y9Is=gMCp`MOjVS5Lg z(oSinHq|uUQNB~e8@!~EkPIsf`6-oO2;;NSn$ z@&Eo+^zZ-L^Y4EW|J%3XKYiWz?c2U@zU=+#bkw!2<#b-Y;RtuL}x=4ne3 z^87^e^jPD>P|ZkpshF29prz4qkIyf{o%MQZP!JN%LKcoUG;UDoZ#uiaMkUtb^V(RILJ@XwxNUld zy}ZER0P12x^m?o3tL>h5uf*TJ7XR^$_}|`&|KnZnf4md@$J@^Tcq{yWU-AC^b^E`* zWd3oB`t^G2+ZDo_rKZ<&bz2|1RoI(bxLMnTd3zNk#MWe_H5TNs@K{_$d6bh~UR)$H zDkRa(F3j?I;B#&N9f9GebI00oGQQWsSbq)AQz^ z?&?ZiRW9d@6E@D+CYy}OIg6Y{&LEHwLI?o@1VSW9Afbdr29a|z7!z!qv5j*AXA?Ew z+ZSAJkE^=-&HtMIt7h!)eDakLmwV4WXPvdz-e>P>E{7N$p?mb;{vBIIEapIa>$TC5 zsfmlXAf<9kBQVkn%kf?RB`EkWeR;Ke>GS#jb`N|Y7@r)h@C^hvpn*n;s2mai4al4T zlw%A`6(P5cSy)BQC{9cWvA2!(aG`_-L;=vH|s#YN1v#x15>FRM@Q~@~;>njorw-d53xLKKs#Q z!SuBF#f$1!uj}5vZJeELnV)N0nCo1a>sg%ZTb%1(c-KGwdf?s5{x>iBUr+bFc-A}f zv}gKJ*V6|bkM6ZSoNBmtyY9}7>RVUD*Dmrdjj+c0bI-O@I_n7y!YDBV&B}D460C_a zMwk%oP;XUVzzyIVfEy0#j+pQ`eK~Ew4flig2D`MkZq!#gjq^m8XJiiZ1h<=-pAHSZ zEKcNAW;L`i`UZvL<2Bc=G=ib@;7-@G2R+Xp^}TxB|K@4myXn5!nZCIfeG4yp7hiQR zzUo|j(Y`p-JpZg=_DS8_ht;p|h-Pl`pIt3^a54Y(-+o^I|9@&_WaMCG8tCGj9u)!J zkRp7%fJSB0s3<*cD|Mw9Z?{-ChiH^_ps}{Q#z{9dc~^}SzWS%5P?jlSfsBlFW)3x% zoE+ot1Gz)@Zr$7si%56pa6|oAW8EbnrR~j-ZSn?~v@l@)i$*Wb|ZK#YhQdda`3t78njitHe@Y!KVC5q%9ZtaGm z(hb#(Rl@2rc3GfLpxz<4tLV;y(#Ow4GcT*(yse*`Yg(9VSzKtB zE_O*5d!!4!(uKaI`ToV(zWI0kb8iRc5CG|WJ=6R0S@-iNozssxo;+xIbXW2KbkrN= zH?Ih;jh9Re=AY}z=xHQ2LnLZR04LjxmTX6gGl>t?4f9d)bv%wTKV*4kpMj0CZMc8L znFCtRd#$|=Il3OQ+3@|r<0mi8C( ztf}GLYkidQ)gB2}#wHG?CjQP&uA?4Vh{p}n1FxWmE{O#|5{^_r+2YwD6AK?bFo8aQ|j-(4n&FDJFqHwvj8D0@} zRLEF|Ep z)2eFS?cIHyeF$hYwnG8>6|w9&h^Iz3h4OviJ4#u2)YxW*)UX zebD&mUj2hx6;s!Qlb6_6MheIJr~?p#S{_}=3E<|s=cU-E#+%|ob;Ett(5Ms67Kg12 z_8D59vI_PN(UetlmbLLZg7Q9!I<)?noSeUfT>&}0tGZ_L-0<|&)Z5DRhPHzKv%CxA zl{c9+Qh`s+$_k&IW;1b78{Wf=ARMjpPv|)4RxOtj_=>T>G-Z~ z;hwIP_-I0qFEK2DK}jvn&88$J26?*6%k0>;ZvCb;Kd^DJP}otDnc9(`-OHf&GV=g8 z#>=>u{&zv+@8Zm_ZPt9g9_WEjpyNGmz^YMO3AOBDZyHihwx<&|#$ITwzu4S(v9)Qu zq3#^096XLBFIPZL&d0>)ojU1bZk&YnjJ7d}vphp_wJHww6vu~2(h}SAsa;%_h?b>t zLS9W(t*xn}v$?CSp{=R52`Vd9395wbGIn9<@gwq9$8Caj!;-8?RM(t*A4X}2pgOL$ zgVZyUJvPa@^`w0IRo$D}riJ;|#f6R~fR3d;>0%$S4k@6=T;BphJo@I|^v=HSdH1UO z?Te0A&st|5H$8nMd3?9({!P);WzN+x#)W~L!B$dx6{dz4BFOVBNOee0Finimi}F)L zJIT8M-vB$s@UUSrCRs!Nw99^5pJPsb$6f6YT5jE*BM<*~j&7ZkInD zXWi(|8m>xeVTKE-=pvF^7RHva+5^(o#2AI(1_~VLxv>%H!M+3!6w${uD>^hWBtYk| z?7q$G)%VNL(#XZRw3N75d{lU#hx@5r+qbV<`_)%p(^HVB4=D56&SUqL3(rG+oO)!B z{KRrHjlX;G$VmGu?eG5X=P&$Ph?C>CU+qT?;R}5SoE-3}70aOE20Mo;A-ttbci{{P6|$ zWCwMSA77OjkRR`q9B3NhtmUb9%xKs8y{kPo>`YCaEX;ykozo-3a^oT>A-;+3j&Uff zlmM@MB0k#J^T>`Za$7b8db)>rd!5+1O=kVt%|Cqq1B!q|9gb6<633$L_-o?AprMB7@q7@xtoJH|Si$6D*-?Tper?ec=X zIM~R_3{q`=j;W5;=1rT$yz=@=3798PjtxMA&*B&7u?w>qhvbfY`G>Fm^ylx_Y}v7X z>yF?5%kS5Iv-Z@kQ|1a*XoCQZ9X2;0zYO+m{wQrAsU5 z(Jh_tT7)*&IsdkO7TTMZcdwe>Jd?~ku6cS-{O~64_5|bdaLz~|I> z36c!|yil81Cmk>KgE||(hq_4{4xLm!prXBc&v)g_4(8@AmKI^|uGH8F1}>Hs9sngR zFb?Lij+RtRWM)FV#(}-t*RI*UVeR&HKYsJ4FQB%Cx4B7-lVg&vcUn+TW_Sb-lDUxy zjTtG8nW-%~>236^UPeCP#zd9)ui?hef#Ty&R{NGepMN!a;KLJylYa~yh?jQR8+)lr zJW(mSP$9ek9(kk*#znmGG9LUQRCarE{TggogXDo_d2}?&L4Nm63#AiKfg0mr8fU4W z>};Op=UfD}r%4Hw+3BWQ8tZ;sn-GTwAruNmi#Q^XHP{85q8w&;VC0%LP`SrM#wO&T zCtfLtr0yH%giTk_HU9F;uh)OOL1~Y&o32N!U0i-(VPi`3XyN#j@ZNOo^S8~j^KFYO ziU*(vBAIp~ZLVWswsj7E`lk8a%ZAs}wKEUOAKl_kU1nT8PaEw{?X8QK2t!3IpOP%6 zY@!7TaKl&44|Gv8Iq<_8qI6Jkk#UAc^*j$d2ApyaRrU>6_47UDazaMc#2A;G*M9E& z)RU*L&#@*h3#M*YKDk%>{7J*xnU;C*m4NIC1mjgVf*6RD(LDD^^6F;!qmh!UlJw4k z2zCrA!9mmYsEquN->v&$-39~K0K3FI9SmL8m%JK}_~UarSz5Z;SVehxzy<+kA|^j7 zDBaIB0SWXnP6_to85P1*Xv3>Bf2RlDuqNLRts%`l1<8Gal8yx*>iz$hcUU+(bd=`kRMp9M)O$ z&5t|w$f6U{{Bz4sM&<7f&6f!)+8)YW?UCbVW8-aS8{_MfgT*it;~@`EW`GC5*(%1` zD9P8Yl$M!-iILm7$x!K(sj9Mt`f1=Bu0{p{*49`E6$=c^jf^NK;v{Lw%^4|8S>)F2 z^p4yt&_&M+O2L@@`Ngk{t`)@$mLa4q!^_I+&%giqliyGed;p5ST=Q}QpAVnI!OBiI z*s+4N`f7;ixZF?+X#g)kS&iDtp-NFJhbdSNJCxtM%ks2xtcP=ijS1|X#MzsqdD`bi z1aJuPShUxUEt}PpRGGOfpc%#V;-YLuZaUpi-|TyNoppAY&F*Pi(D|FgO14JxWa5PT zaKe3<(t}Y<-9&Z-tKQNpc#qtn-COpUDVoPQV3}d8u8i)<(pyiep1qR10Wa-hD*_kq z8yvSt7n+ym8W!Hx&%UUB{aEz$F8BU*#^f07VlTPB9@AJBTF&q;&O}iOX2b~HC~sw7 zyCe3-`%MkyjH3Nw!UMw%_vi+katl-OiO~$gXop5?1cs^ksqRv?wu)ggJE3gRrP4dM z#nTU}UO%gwn`vACpm^E3gtX?R7fnk~C3E*{UfvKr?4ytIan;G58P2+1vRmagY}^t> z$@8M}jgm`GU`vnU#YahXhscex$@PDE1gjIz;BIHPj;-U#5vFWD&{;|8F|$Djent9`Sd&%fF|@W}&x|Mnw0Be%9T%1A=izT@Dz|;JmxXaWANWmlWw12F2NW5-1Mmq$cBS_Wj^kMA)e@dC?>~-G5JK`4)tKQDk zFF-uT3xJDd#CX}bG+j4;uk!h2-kl!W2rEhu!Bv+)>u7@Fi^kFhZdH`7b>bjl+p zgn7B|*|fn>FFdwBxXgwj0GOvld@BmVe=a@X@Q9H}jIk#YQR8mO*2&LAp@CG+Vpyy6PRo zkKN}!x>0z0lzOo{xgYWZ2_R_NgGsT=#2Vp)HACIxJuKv`4EGz^sM%6ev-K2poDW+E zDS86gh}R3p=|{xrh5>*?X#}{Ra!^067aWv5$pyLf_0#J4nYxAN4T~=tmtIJa25;|I zzP`knYRwoZ3g-q}Mk()C+q-KYE-gEe(_lsBYmzI}bGy`;BO07bD%?pW;oTF0yGKfH zuF}K(a9U4CM}JpmVpxbUBejl}Atd38qJz@Co$(H)5vDqkRz?)a(u0i!%JJPdUmGCS zijs|ny3-k54BOQaZbMMB2NkxJ3|N)aeyppP{c(RryPYIG#d z&)Zs8>(I8%em3T?7dzTQA8TWf?&X*t5m1DUJ|MGm$Cho;!O>I_H6tmL5JTL)eWyVf zd51S;b0l{MsdX21bYHpe*0Lh-{S>YY^{$I>_lbIAJZ#j+%R!c|#!v#qB51r(DBSeK9(r z2?kMk!)OFL^g?5_gCjNkT~6A`A2@ZJH}gn5`>c9lx_0roWa)YR(oD_bbj`wpiZ_=^ z?n;t7ss4q2#v#XdpE9$uVU|mLvxTOqBE8H81Lj#H{$-Q$+eVf5w5uLz)Xb>VJeL`ftcvX&b_h{LpQx(&ybuYCfFVECX8`nNEufAs{x^7ZB zYFN;1kX~h(QkuZ7VF)Vr$n4WQat33I6-J35&(D3{qc_#F^R-J0H3(wNOQiGgS|fc^ zK0CvIdB6D4wcN?`X``L^p6ZCYQa^sKa~{#06sZ&KtAw^c;$VKr!cNtmMxq&L>6`4= z4^;Gs)C|C$2~RYRAsA!uM$tHfh8eG*jhWh|XH`p2Di`mI z-(F@vXiDu%_n~|0`^#>Y(=jy7D6MuS7aODqO!HbTIOnW|mu#x1Y$T5@8>fw%UK%vN z(U81Rs(rEAkK51HHPp*1EjGF;Kc}mts5viFM2yQ1^-J}zkGC-lH`9U48zt1Vq?ia$ zNA;8xj8C67)7G*xG<3DJKs!1{dwJ)_$CRZd*JM)~aR_U^CMo~5?dvP@c_61M#|{2xG@ak28Y$Z3>tk9V_cZqPRp!M zCzZrRV$AiDoGdfYZbh--QLd=-M59ci zqS}MfA5C$ByWpIAN@!Vxz6&YGfQ>ZJXxnkr(REjf<=xVy{(;u2c%o^GfkFg zh34ni|DOld-`~3O^D}(@Vm?j)(=rBHo~&^sIn0 zC@k&gmb5bqiU|o>5g|mhPqd4Zua$+1k-qZ&J+Q|i-oZS|RF~jrk?HGF7!{Nm8hCW? zoTVh$eDPX1aVa5)x1fd7IWnb z3zZ0BECPCzOW(rmE`9NU@#qTm+EDUv3#P3spuEtHnQoI2dnV3bInY_&#Zu1FQ5{v7 zQKYA>XLLX}K*=Lq-4AOJkz|G;Sz?nd;)&*QNv0Tpk!9!z1B2xb=fxA@+;sWkOy$x{ z`O*{7{4LIOU*^T4V1b`O=)P@o7AW_KEVfkw-Gs=t&Z&3h4tiH#@ol{A+y2<6=e5_s zyvNxkRKL`=PioS&q|-FJ+9L$*?iTLrla+vNVKaxtf?jq}12s*Ek0}WDPWQ0I*&2qM zYD2~zyuVk3kLQWqyZ-#mpS4e%Fi=vq(A09!H}W>OCV9EhL(s)BVW4yYZa}*Z`<-jR zSAw`pe)0N08CSpfozEZsc6;EH=lkAHL4v-@#>JL znVxA(K&-N&`Z4E-Ek0RWu~j>B#$-$H9u_=0QT1G<;hk>lyjjl@>a5gtQ0jF~>N~WE z9(d>9J>%c{(68=>muL*d?6keQr|24@>JzOSlxP|Qph31yNU_2p&|wyrWP$;+1!ou;ryo95^71i%Zn_Ksji+S` zcS~Q6cULocCFuI;oyud5Lw1k&6C-7x%KWPy@6E|AWd;cm`0iD z1Vb_eU$^}9)F3a<9UC_3si+yMYg!t>hBzB92bc8FAZC0FkBGmrTtWDDZ|9w!j+-4V zu$2j-i@|08^IQ0MT>bo~pFjDn_rS-`7mg98@l&wqa?sa`zEN_P&FEw1cNNe&=+tIv z8aVOmQj>DfUP(^&p=L&|IvTd8l@D&+d{Sl`-p@V8#xT-E6K7)x7IaZWfFLn0#s#(U z$2D6vZt+3+9zUvZ*g0~mKYa(GVOQRT1KfM^<_Y%>%RJV3`X@pum%2}V)3SbuR zVy#nD_Gy?~+7j6{rjZm=EFG0w>%-{|t{9DNxf$2?^BO9~~KDFSQ+-QPXg#R6k-Cd@<|=ZRuv zQ3$ayvfH+49GBNVp#zOv^XI?a9{3bD{$ivclmkj&jEV*4MZ9xhq43!KrN#XmR(Ek>J0rh2FPjw~ zlOEs~~2l|UEHL9Z=T~+ABOf#oobmP%V{!T}ot&iAZJTYNGp+~kJbUkGseA+uk zKRD4WhGdOPw;@n$lHe8412BYSh1e`$un>%6Ckvn5W50P^y6}KAdo}NISMq4K2gC4~ zxuv-^p@@4Xm~0f2X-5(I6nBJ+hA@p+@cj=6=Vp?|-z7~fkuFPpcccKOP43@G+g{2^skBj)Qra&TDB=g4K#0{7iFu;Dh zv*YuX|Fs_Y6gO7FV}EO z6L(!r8&xHRy)tM=yBL&Jl$AkNh^WGd5WF!w+kJSgUPlp&F9D zJ(|CpI=G)bbzJaRxoSqE;k9uWVxjn*mqv|C6DOp^%hHr9Qt}lkGS@E6lSW=94?e+n z-ioOn3*z;;<<(mfSvo-^cM_wBFWUaY_DI9%s?h4I88>E_FK0RPZ#i?%7&CWi4=$x# z>BaQd2R3ls1=%*VB;({T^+-@e9gaBq8KZeD-kzOTNqrtnktf+_imoXd=lxmZ}$$ zVnt$m6-Xk=`mvI6V)yOz;ir@fZ_+O>q+OAwUzNgZ$|Y&igf#wwGm7Z4JX7zCn><2nUfvj>nF2iyROP< zBh51w2BuC{b}0eAqBPP(bK{N9)|*{zH#%A-;h>}CMoYv0Mw+j`HWWX9{@dz-kD+5l z-}vB987TwYU=MMN`&b1CZqTz@b2229lxi}98>LXG$Im;;&eG$Ij+L6C(!Sk6&JNLz zmeJP6d2!*1ZuUfP*Qzw4gpBXX$)H7s9@?|}5C7|b9aB=Y& zS*m`-=zPANoxR$AwN%^muK1oi+4pCPUQQRicu0GEBYpB5Zloo&P3%>{aAc*Lrek#C z15|>XPq_FQqDyFOQ)5$=-3oq6uE84Ku|}bZma(aJ_)G^P)qzB{CuP}_06limg}H$ zlroyLQ!5gqS)o4Z?luWFhLD{;IncL)!y#gEvO9Kao=`ZWq-K0t$5!8j;D+jEJ7G`s{RZYBDV{4CC(XV_|BitEG5gkDr50sI^I$xj`N#0`KmK^Kz*N z5jB<2MWb}(MV_H}9g4Qau3q|vV|&@a5AoqwFxJxQn=juv$L6iKX-nA(9Pjez7Lag~;uw!MNw zu_t>NKXRLL=Wgcx8{}J~xbd#=-b(N45+@GTItO_C=tk;Msn13e>dLz_`Vnoe+vZ3@S`ND?jVB1z6?_1q{LdB~c0&APtCx*>(em_+U< z=NiH~h?k_8ajEC(<3_NrPjp})4RE7Ue6zb_s-yLqq_3;R{ zFmct@G*dcpV%K(W8}lG@gK!H&2rnmiI6*ZZK$w;^Vh@cnP)N^{niN=t4mu`j#*B7`cGvpW z7o*q!8i~e9VHy#>Dt=++;T#%=mPwPBJ%&1I9jNXZZs?D-j3n7%DUM0mPAR!gX>?Q? z4V9XM0_Y$k#KVpRmdlFVA@*;n5ACfF?`3!h19YMeY(11gqx+^8sQM-v$5LH#tAaUg z3DpDC_VJ>DTfEUn{L626H>JE=QtoYO$t?sr@~%s>t|F8pc1-GXZq@llu&-})a1fnL z>aDK4+0%KeqxEV-&4`c#8UL!2vFxY-ny(XLs#qD3Ljx-LJTf6^|IXchUVf2bu{az# zlR|GV6O2I8$d-od9j&*z+HN66O6#?j1}G&AE|vE=TR!8)|F9nT7&lgqK_^H2u*-2@ z5G)FU7}T2YDWZcj1v0n5rpW4)L_RjAC_E(D!x?R&Z?B;8)+= z5=qjA$31jv^qS;hdiDl9BI1p*yGLI;GNpbvUGe*#b5T$Q=M31Qw>YIwGrSdz{vMFgP zK*vpK;SFi_HAJ@o-0&S*b=(N@@r?}$&QBxv*H+!^>xPLx*&?}ADePy^>rxZBu_1YA zHIi7D?k?o zI=WKN5uqGNM8{_d^cP&x|G*{wS9Pk7abxAe;1gh>h(ARv7%Afo@!8-;>4m+JjJ(#o z?8cmo+6=Ndg}}zfruumYSeV#psVnT;Ewko3Z@>*}6H1srlZcCRwU763uFav;lkja> zX}yIsK}r(fhJ~A-E{?GWUoBTKev~_#3BKf9B025wmLs7W;knj% zW$t3GE5Fd5L9xh;Ka&u0IvlMQ5Mz%iD-uyD)Sa7l*qku;JM9*%?;UFqMzD)Z1JH0L z=ebe}+_MVZvI<z$U%DukT}KwS<~0rRdoNXu+^xO-vij~qTIe7hD4Ym$ zMd7?%^6{9=@F4S(M-x0aSi1We5lZ$EY7Z4%l;_`3sd%ha zJ8jtV#-?ZS1K$7zpa?7!;22X<(H&{UJ!$ow#rnzDl8L7kLpMvh#%Xo^ByoEvTjH86 zvc%EVeDJ1Gq>9FtgL@957iYHz4$@z2zpfwx-FJ zh8s=w*Py!JN_xrvVMP5`GJpRMUd9Kw`1k-cbBGs(v`!H1Gf+~r;!kPJN=3vCLVOn# z(O~59i3w1|JjmYCR8_%3M>91hf<+(TZ7du*XXdR!Qo|JrG=PoA;D=$aaFdzTez({gLd`mv9 zucYW~Y4M6bWdae*1(1{&$=kD>c;Q1*FCZQt5262qL;CZd{wMSRJnK*Y%5wZLP!6zD z&ha^CIV=d_fW0cWI$*77zTVaZC7I6GRtss_q?qtwSVE$p8rR$+JGCep&0{h>K|ie@cE1 zoS}?DhR`pRZxA}KN|9WvQZ%N@om4HG(x`fO1%k))K#$w<#QBzJJ{`rXz?O#QXoLyUc+Ekp4R7_ti?%Z-%lnO^9V#YEGJ1L!3I zdF+7vVl;gjJrL+X(8D8pg>~ewXdRBJJ9q3nD0e74IMUnM=h%_sE^h7`=C=D3b@nJ| zY(H{p`$74=M^7C*siJLS<>VXU9gPo3p=0tzczz49Zj{)4H)&`l@%-EP(S@+{i@s;) zQJt&4A9e*d^3wq~YH#)T-0JDP+0p>2W*?JYOU9SR1k=$jWH-Q#kXl}8Y6AYijvXjF z2M-sI0CZqPNK`T=vA4YJa%&^lDG>1m&XmcvCP;CA4J;J!r$}nRNcn81{M{+`-}MV0 z)60*KLFhOKdEvOlNP6>S+!zCWtc=^q$WI9hNDlCeK-v3So13XAYMnX`8TAisUVnJ& z1|#`HtOSez8&igj2D_#s4_UAT83=B;p@XAY)#_>0TFen`!BtKEP0g}9x|I*i8=j)N z-g*zrg`8i)URWYrSV$S4qnv+{HSi#(^Gdd4IHSBhl~YB?DUME|`r^o_s6;b=jE;Ml zs)MhJjgOgs6ur3A)Wp=s#TOEpDxFeV`~8nw*K9tv?V$B>V?Qm|Km)G`i$I)xbh0y! z;+{9 zdlKEBUJ+V05Y>1ss`Eiu-^;-M*X|uJY#X1h_J|7f^^FPvI|cGG*G&%e-soz()?5!( z#$a(i6y@Y&g7f@c)7MCa5>ui!?1ZTlYS-`f3F8V^w@`k)gBE0jPuu3tt=%FOAOlA zN+A^_w79teN=}c|R+Sgfskr!PU+*vvH&ab@nRP$xS@*r-j?J#R>O^msybyG0bZAWy zwlyoYhe01GWeF*%fE&I+VX4AKb83xg@sM%ZC0+hiE&imo=nf?6v1^%e>wX<@b~bA0 z9c%={^*_P2-NeaPjxG21w-4TI%Wsd3=e5r+W7`X z$6|i`@khD+avOeJudw^Lua0Ygp=XeZcbK_$sdzGF3dRwq@=1 zKY#iCzx>PZPo6xPmX_An*LUsOwYzukK7IQ1)vH(Y^Yi!a-OJ0%JEfqodCvh2dvEiI zWK>$IC#%Ize9outhG*klhx$7f6_cy|C;>OXp8~isAgP-g?4Rszhq#N2mBMo+`K_5m zQG6H__N92(6=1?7{L;)s{IOj!?ofx?-Y(q5In2cg>*FP%QNd7McETcr6T;Mit{@d2 zk>XsRW-MQs0;_#f|2@wC0WLm1`0d@=Pv*%7x7tq!<*>4V8N0$afNTH*>0>i1sT7Dz z_BJ!}G&M3*I3>Gro#y_X0p^A=cIE^pn`|GK(wGnsH~R9kL00YO77H@c)~)$L_lzM; zR3F4>aOU*d@JEe#7qq#Tb@-D;6?d)bAEKI`c(*?bZhsisd@H1OB7ocJO%uBi3v9v( zChmbJwGDP1Jo@F=-+uAs*MIoaSAYEa+dr@0{KK|=N7S^e?H#vn-L`+@UbX#-R%)g` zM(!aN{xSC9ILBCmb3ECdkm;2|^GOH9$VX=t1?I4V=$tf+>h(@*`P-2o^t_ z$wvT$!3`+_5`qv9KSc3hc_XZ&&^-&F!$#Xp=ai|utn#L{+cs_76d4uS(a|wDI5;{w zdiCm6z=~(jp1pqk8gOHAX;CVbK7an4NF;9Cwdd#=D_y@hQykqUQ)FAzZc{pFUOH^R z8d~jf^1)6yE2+CTG;pW46LirrF|U`A(~wRm!-O&d-O>R!Vndq*rP)dNlY4fdoly~f zK5@api4kF03Ai4ya7dNkM&a1UfEHDmD(s1(Me(?C(4I8bkttk}Bl`B^s zK74rl_U)FImdMCRd3pIYYkpj_X3d80)}PpWT>pfwlcs&JDH>-VLvl{Y^dQlE(+bd8 zg=i`xkj4tmD+w*&gcoun7zkEG7njA9ltm*=7+oxkDi$ID!UXzJ8eC8sSja(a7CP80 zE6`EoN;N<2sB%bq`}$o6R@{f${P28#ivig#l2!efxHPVSZ_8=|6t@ z*Vfi{@W_$9Y6c3ZVD&(vR(zpOvOqJnN{w8-+Rry4C@3L1swgXSKvEC7=u}U~WNX94 z3PC@M-bhIjV?$ZN?pa@_yHp8s=&~ZZY@z(LzWk@bv)cFd-{$-uW*pqn%JFah)|QK^Al3?Esz6Y2{nf@g zD5Nr0Q`uX_7tyHXsIWj6M>lgb?W2c+Y%Tos)%~;-qKq_>9n6`*KII89O&KZujQk;P z$$*f{%S_+Ae!Y&aUVTeDzo~~TY7HoEvB+=JqjzfL_39M#>oSKRd9P`HhiO)=aS~fE zf}-LaDyw?thYgz}A|ftbx&$*3=Hr_;Z>FcGA3S(4IXO8oF)=na2IhdSuI_i=d?&kk zuhwC8TU85xBQKm?3|J*}bS5)|UJ{yD5|+=2DC9;l_%SR&OtCPwq%4*#!f?bG4!j}< z@HUnWFaqcS!~<-WWrL+~S?-`CxB)V$d$z8E$<9rCwr|_cl+#x05^t)hAv;e z3`PoE7+l`m+}z^g;>WlF_%S*Lh+dC?3(EK3;2E{kW2v7F^rDvmD|$CZ{t zi~ma#V*ou$fOYW05yb^3FR!eu1l;KD?Y(&M zBJdwjHo!sw&{$YpfJ$-#m9=gtCdEXQwvokD9$2fh);406x$c4T2A05@`z@Jf4S!rYwV10myRSZZt( zJrUn2;EjPj(%Lu)p142BR?r2+S|?3)Bw_$2Ur^g7;AZ{9S_r0Ay^sYcslT-gPO>Ry>x01 zv!J)MxR+mAkw;TJcJ$k?zfLBRswIutC47GpT_-I4gn#N0bm|d!^&=hePCD!oBWvy> zqh+~H_L#oDK8TGUrXI{h5Dj2r!t?|g0oHer6ksxTcXtDBG&eVAWMqIYx__Ijsgi-8 ziD!ayEG;0tG^R+1Ws7j78##MrWWi}76bku#KHvrzAR{9qfMAawKL$DUZ-55e1zbO!PG7%c_m6vz zu9Z_*cSQBaBWkNXPOc6QPhA+lcVQfA`#u^ucc&M@jj?imACuOaL99p!FAj01`#G23 zBHKhfdNN)`c2}Uad5o(g*3%8|#TSPUAIZwjNz2Lih)z^=@Rv39 z*k$A@W8k_|-+8C5{dP5zP4epBY}%=$q5`Di18A(wyCvz;!otGr?Ci^zFJY>}Di3Qt z@D0#9>gwvMYpPRIQ+My$eM(jyrEM2w7n13p!p0Pd@THXmesz+dI!TDU5(HHQKA=Yx z00^|i_s7d`0Z(}1cupCxj%dVS;f8`nick)gAL5zS($Xp|EiEZ2S+P_4`}+aA;5uKt zcriOSyP}1De9fO6KY8**_l({j*KGQ7^X@OV?)`H8_SGH$lcQq~E?;_hY2v|!(TC@U z?)E|ahGe2baF$7L&PXa>);H*WPJkQjWn6j^f*WW{Q!rAZ+?-?GU6TELYN?cdHUmr* z$j1Wu#?|WbtH_=dcm+EB3z`@p$!g!!=kx!HdSKZ+`9L#1T}P0-97vJ+^Fl5-Ra**j zIVs7po*t(U%effohFTl>X)A^3DkYffW;$E50zAan$d-(hvqf~+5_MKk+R0^?#PnFC5$}h{^#WVIYtKVPLUX z3(w+s5U9Kjlg@;)1`{ z+<^E~-UodlJ5#PMFD}2LVf@)*`sen*r$DhxI{`*MG@z#7Qh`MWwkm)>MU<8r@8zL( z@PMbeQLu$UppJ5^kw%h@L8hw>Bfzs98{LvghGHvyC5&!PaWk7)l#+bn@ZoR1{PJIa z|9b}q2a!kwk|s<&7Z;axo3`vbEWdr9+?L(48+Yv5xOH0zoBeapSos9l{wL4@EB@KD zXPcUu;GA487iP4*oxR+S{myz0an8{N5jnyHb`?=jODd}+i2yx*0uUj0ux?K@<5${gIa_t5TrCdUuDXeha>pYlB{=dgDd6h6pF zNvRX?hCAABj+}ouapBR#_~Q$s;D^1@(RxKveL+;(&!jg|5=C(#EWiyf$71lO2sn93 z2~Y$FZDkhj?1(g!U80XiU3Nxq2?H|QgS0gY`9;fx%kdlU?UcU^kI#0>KX=0ZsakzIl@#QX!h+S09fH{MAi#|?su(lvBs-%_H`|gBUx@f>&ra`U z zzc}fB5jsHV0BZ%-@rsHH_VT}if`XIBPo7cG39}E(2}$SSSe40wTC%trBaen*^p5wp;XkTRn(=H!#Asv1R!^S*1?NlA_6J+Wc|T)lLwT8!{NX! zz#j$2D~K(?fBrv$23$XU6L`@d|M*2hLc+=~uD~F8Z^0dN>((vknWp9@Gc&WV{`iO8 zo7T&1-*#x{j-$I}j_;N^CbQ$@9vS8Rdr!+9P(O6w^q~W4hYlzm*yn!w1lHaZXQ6{r zIv#L%KRyu6OeeR91Y@1;Q|Hg!A0NFxKJsAf{N2HRzzxXT1AJqEncG4kRDhiVx~Qjp zVFbFmAO})#s2AJBp^P3vjZY4JUu;+>^bCZ=AP!C%*GZ~ zkOY7R^{Ey0%ji*upa()QBxx0mnN>~MHOsBGiCWW?Ro$3X4M+lCsKE2YaU8^2340$2 zmYtmq&;i5-lntU1V%Y67U zfC&%}ARCsJmTGEh@$vDX@&4&ge>!krucFckH8n*I4P{MD6)jEGGdib@47AOR^-PU) zP4u<&bxvz29``tXBEiuNZ>gK0d_3sLfy6*GBQ2#_$Qx~Iy*)hiU<^QG<&hLWo9}Q zE4S6r^sz8@(Ny%(JQZ$m8gFArakXUxdRG$S+jA)W%>4dRRx7K>&C(o#MNgbK0rMK% z9}tXj|NecLLBQ~l(8|R{Sh%&dw7>n+H&&KbA7<0vJy?MbKpqe}0Eob23!V9F(mM(;o|=q*?`ZnSnR+3+rRx^KmGgN?|ygq z@L^DNVH*qd!^bxW_6~r?7hinw)mLAwUAq=kn!S7WY+C!{A(@@W_w172yIX#@%!%DH zin4pu4(``Ha&V=AzNBggmLPlsOjL+72Y<@P>3Tk1Vi23{CIAZ=!b+`9B-dn64g2M`ajB)y^`v#L3#7OQB?nrU@xT#?HeheVe+UNjPj&IQU5Qka#M1(pyPDZm+ErvJa}y?0m}X`VIu%y!Pf zc009m02`Z}bIv&eLdWAnGyH-6k)L-CF8=BJ*F4crp6tkjlH z@9Yb(-q6j;XU zi4Nw4-fm4{tf7n~WVE5o7aqBi_!wzPiIXQzz!-pe|K!P&KmF-XBxs0d4K@(1O`%ZW zp4hwdXSV?zPzfLo{O(_W2f+ax2PO^J1Q-WOYbJmpE$Q_J%6gKgB&de2mFyzYCtFrlE)WRd^?>^W zA`FbBR~Z|yrrh1#VQk-T`DXrjEjrIO%Puo4vR12%puNzp%82(CFS^W zq}i(K8)zFE>42x0n-L_%(cZ$@$;!#W3T(y3%*aGjg=wIc;pNPAwE%8}D@k*M0~>R= z!q%p(v5}`Mi$q$=#u|!?qFNVRAxMV3)?7bVUO17P+MgKK5aeCz?VRIoTOGxoYHzO2 zO4qz15#`T}4Dd||2`*1hTLRx9c*^J_gnR8Ir;I}E+DV^(LsIsyvwvm)*gq)d?8ST2sfg32;g7$4tEhZ|MxPe3c@BjCIW1hmhvAn$8-O~+w z1BL;F2qi_OQ1{@H$O1uD^Jq~Y#7`i`cwrwbjfv9X=?cQq0AkDmD~K^E8WZz%lh`>^ zJvLrCSRG$(r)ML1@}jG@W432XMMWjTy|%WtKvED=zSm&bcecn_k&}~yy#X8mykJ|5 z8!)|adVzs~plRY?0b5{-;J>%tdh6}Ci8R~n?Ciq_4qQ2VMp5E|^2G}(5*IY3KvJ$4 z%FCE2$zvER$r&lg=t36-Zg{(JT`kjfRl}8JxNQIWthCXl`t{*~$MZ8kt*`vJx`fK2 zDDL-IID8+$8%@;`nqaE#z7w}<<;`nu=&{G8Ab zUq?Hnwz+93dg&@f*cfMeIu|nBYC?Qbd1IkcD-`61W;+_%pFDD+ zrluBwBESShTwvC}=4{~(hA$O@1{BfA$Vh~&h;aiOkZo~pP<6x?MdAh$ZNOg;zoD+K zP6TpXzI^%ADaDKDfg2DvKuHYb2yw$yNx@86!B|<&P*Fx#20TTL>+MS7Muf5qm*rcV zo;*}ng;drDGn1evKQ7Jxv@-ww?8MXY!3Vt^n~jx|JOqozv_%9|`BMw&4h7!M%^8Vv zJ%Z}249zQ&EEk9yu8BVM^5}5nwV`$s>TkfA0&xTG_`S7=#pdX*_3ZukUd{g@?&>}> zgM1|Ly0f1!IeL*21(Lhb-VA#qgXQmKW67|wbk$O18f#|w(#pewN?45g*f3NGoG8j$ zs;ii)F86k@18zX81QUUu5n)bProk!TU%>JzB_%aCKL-GTR0#WDJdgfE8<@YC#hA;; zSV15M;<7*lu%<^vMX5=v$;$Aw!ShwfazkS z&$PFuo9afo+gGK;mPd2q-JR=_V}}Z|rppS~8moaD9yXSU+`ue=c@IStBn6fRs#1LU z<(CNCh6e!(0DPK=;`on>8{$a^kpa^UvI*uUVn?xd!`=XNR998YNLY?7k&c>9Qrp6{x6a_7M zjktjmBkc_mH{eJTUm9o*&=SZ1oZO2W098OJeg#~GH3C$@RY*xnN}l*y>Ebyxcv3)8 zWUd;?%a|(4nJFonDJz;P%Nr@l=*V7Tz}{d`GAY&>x~h@NvKjt9waIY<6(x)9Eq8_o z(1!lO*f0{AVJF<`ZbMIs$)cR$%%sjZPF;{!3B#qt*S(FKG~M0NR8**c_0p-MhXb7L zqv_Oie`ZZwG@7;D=xjl!HYBGI!5c&py;v6|)|6lSv3zsVV?Zwx=9ZnU@$xPds0sq$gM>nd`f5XuIL8})>xL4Zd6)MDfGQqv5^ zB7bIyKeO08Gt(#>s~+qwYzKFMO9-d&v$%oaE>r~}aRb%`XcT!N_~8d1d=L^6g1E1} zxB=&^ovj_91G)!n5>Pha#-)oFE}b||C>xh0b*@UG--L<0teK*mxw3+}3aE;_k)n)_ z%q1UvwM?daCdDR0Pc2GCHpADeIw88JxL~%q@n&E5z2W}bgT34R-9&BJ&gQk+@~Ohi zfz%j6+z6n-nNk(%KT=mY+TM~H8g%*VqwZ!#Ob6?5nrmtRvm`1EJO#~RMLdN_Y9lHH zits0kMMW5>C>kot z#RPa4#78DEJlxcj5@?jp?6mI8lu=&pazo7$zuwo`QA15_cxVXu7nmI|Hn0Jm@bu}^ znBC{jox|jUt0guzb}vNi+Xh5{ckFd*VjDCBe1ZHXxDVzgfC%1Ltl9{)0K(uWHWt=;**$0+4dK+|NJ%Oj%jp+|0nn z+Qh*QZWMx{P$>=`6bGuCy{nV8ot3e%wu(1_8&m}A61br%m(KL8hz%DMWKY#sT^Ate zqD$0$uk)s$`Q|g{govMG1Znh`_*Tkcse-*czQ&$ z{JGH)rD>_x`g`Ao6gXQ6_bXv zLfysme?3q6U;oelf?Wj30_TY`#RxAU&l48{%@e5dB5vRdKtEgsoHc+}WT_z3T>8u@ zFce*pv0*GP3zA~4q+qF{XrThCA`gFx_EkwAebp>qk1TiF41Kj|HMtB1tui{SGnYG2 zRgSh1AN)-DdL{T(8*ln94R=wWLoi}&jb$4JV^oww*U|jF-E>2Gk zWBT|xIrzFbt4T>3$X<3-SIA}iR)n+pF(Jd*X)_hY%T2ZO{03iFXB8!-vC&b;iFiX@I*szO9=?D7;s3*Czw0FCCE<<{Bd&iR$jg%!d4V#h3; z9YWy*MBSea`obw;?vr5~5Ht{A4?_r5JD^yAKmi{D0s?Rx5=_Leq}2p51iwZ3kq_>`0mLDyfYMWu87NaK5^`TZqayqh8 zM@kCO5d2zG-8#Q+jb8`1_(C~vJSVLuDT*KJSK&*|r`o1Dnx;CLm4^p*l<^YT{ud4( z^0G4Xcd+BQIK_Cnr};A%>Z{TD0#4ZbqH@H0tCzp-f%%78F5g_pUw8+^_J(*t5$}O0 zif0Bq1tteN#%7?$hPjEmp0=Nj1;s?yRN-nY-L;U#C<^pyhzuIZ&4d$nvxC3d(ZZ&= ze|7KxrVN&85;sta6aE4xXD3V($czZRM$Ns~@DxlUlA*wQ$2Mw;ig5!QaBz^g@uIy^ zTvQBuBhovR&#fOS>>lGGa$^8&WnxzvMVKi3unTn)aJ-6eV|oWVb{NX+a{K(MU}3Fm zakXn^x>24X zhKRF>aD(u}BAXulP}1C;8G0HnnyL&-Q<|wh!`3u2(3?wh&Sy|-BUl4D8O!yRQ17?; zx{`z0CyyV)3<7RI-@s%+Sh&Bxzp}D2W;*tpnwVlHz6LiC4~8WhvkxXjTYKBynTVax z7%}O@D>bkMd1v4$AOfW&r8X8eQ9j|#ne~GOT_c4(By>!cLgfHk8J(^ogbr98peno2 zF|$Z2qRq1_?elA$i|gIX*LqjhdzJwmh$w?GgP3rb1duH7NB056zPGS~@J)oKK#GB0 zfggh1;5*Q7Q9$5D+yI_HI0dOe!1?>{zI*=I5s0Eh*oG{O4OufKc`y_!RV8ax6>NZ~ z7%E7kVg`X50rVUXd#(sK(rGTG!T!z3vHd{risIGkvYAreL>X_cyck7M2GZl2BZ4Xe z=sZv7Y**_HC$pje`e1GOcxP);P@v?|uUHPY5$>+Bp450xY8rzMdjkpy{@vdQp?~3` z{NM5C@TZItow**24#A(YA!sZ0qeWR8dzifyqkjUUSyEy!AJ zszD#pJ0k-{$%&^=e9dC9fE)0b04p$?;POCNl!k`J`Sa&NnH+>~ zh15vLNTK5yRpHMrx6ZG2EUb4eZS<~g^sij&Us>y2!U1vSiwld0O~nMqG>4I}?`)rY z`~N-nPW)zKVj?{~ox~9FP8p_dx=7bk%L(+#@o?Z8YQ$?Oa6O#Mf_xhjBD=Y%3#EliWxPpV?np@i zd|PvMm1Bk3?Qvn1f%H62=QLNVBnPvi5MN;%zqzDP>%!^7pMLCbYZdP966;M%@bXCX zaD%>qmX0Jn`S1ATzj}pzb2s+hfxTu1$yP}7gV-MGBSOvly4ITO8(6edJ0qsCy80Cf ziiv(AgP!8yobBOI$@Uf`MNgL%thYAa8t8s7F;KN0w0}H*} zW!e0w?Dib~5OAZQXN<^hBQn~ck&YJ+j6?1y8-jaft_FlfNJNSfD#!FPe|EKPVXbrN zTKCFE|Jvr@+ScIu#=t7@3N97QdQz+TB~)eKwUBRd)n6St40g8nQ`{C3H%d!N0Erhb zp3~M=wYD~~w>Nijv8B2@fu8tyQ*>ff(umho$n8!PtPk0cjLTyIWh=!6sgx+V;|G!A^iA)tz?f+*RqTGKgsre~rWq z$Tb%(T=?>nkIx-Fg4l9W6h*if!Zxgw6=7`Hswvy5t5~ZlnJFn4$V+QnzUZl|mc#PS z^K{}GX(nhYX1Y6+_u(@G1tO%QseO4;QFlSxFt2BnhngFG$ZG>=bY(X8XLStbzzFFbD;gjoNUKF`rGAo( z`&wyTSQ9K>>s{XHU%xTDd2@8@=GZ2ViR2gLuA+JdoIyY;XcU<5;<^7zHeeAc$SCP5 z8>`FesH&(Tx}Llu90$dG&YnH{@dqEA{OS;@dud2XLfk+u8*)<26y>dy753oKci`1` zU@u8|VVm%$APalDrxPW=gyp=_2$qYXRuGL6?(Q6BXOiY-Rl;;bV1O_`W23qD?qJUo zgb6Lpf3vo-O=x5uyF65hlyfg6b00#(_* zxeXY^-vzn&<FE2L+gX+ul!0`5>AOOtH#@s+tnWn3j7sSY;J7*eeCTS~W zy4e*msO7=F^${WcNwLGJi9N}2t?9`F1=-`p`GbYoG!r8eWd$8+DTVW=&mKN_^3a!; zjvcvxq~(u3GCBI8{pn9VE*1NM=xKpgprQ zrKvZwV<4{!S@!U-%vO&<<#>i09fZCC+_*Wic}sZx*3=D%8)O|qgw4ZFc=(WLf&fzo zz_s^^_JZENZFrQFg*Sb2E+M35| zs*2)coXw0m-t;)SYpj!b4$Z!nP47+!n=Q@V?&Lof4*j@1|NEO;zrVBn+dH@VTKLjZ zQlEVM5iAFIUBz4j8U?afG^A7v6m(A?JB6&YeG`p*i;xDO1Q}e4a#%`AN>DZs$brx) zEcaOS@dd~uqa&lBEdULu5C|1B(=*MF&1uVP8Z7D>EAB(wm$0}Wq2_b7<8uuYW?Cjy z;U$8!=AO*9p@N?Ak^zxGN~oiR#3AyhTR-Dtvav0^erIYMb^nk#f{Ud387s(`D#`8OhPn#$4GRpxBc76(Vtcqe|H18ar<`Vp$BcjA`Z1-X>k#e8)SV^m>dRr1`JoP%CwShUfW1X?^sFyIO0W12d64W z78)m4+voElvjVIcEeW+9DUE&E9V5KnNs-Bc2vV3F%Pn(+AGUjW6Sy%<@RU1KH?K%t zg~S0@EHqve`hd!D@9sT77CgwXI!NdcL*k1U0#sCxQ}VR&V^gCd87a};Y2jW8+_+o` z3CWYEPipFETG?CLyVyFq+Bp-$*4f1to)lMCTZ)?<)!ot4(}lrsXEHtfd_CY;0Z*~F z1y51&&{fM1@yqveC2&JmIosK)$jh}PfL_7!6GVpf#YeS8hw;;rhKq7W^0{4U@lBDz zCH{0S)gi&rJj%u>*2Om5%}L|JnWJBPhRznjCdv_3YT=gZEE83Zz13D{+v7L(#v60% zwRd1YZV&;YgbxM2*Bjj(8yzhxEsZmERU_raZ8@3ozDyfk&2TSjl9y|ei$$TQ1E0ef zOpBf`FWByCMH6b&nELI_>%YHu_YY4V{>#G$i{oR?#zsdz{NVHV-hKC<{^_mX{N|s2 z^BWBnwNS4hx~V(e#NA2HUPem##pvr7Cm{A9RJQm21>g}OZ&!42+)`;ADXQlUv8a22xwPvn}NJeVL(=Ed|g+1bAL`J43e3u zQ6iS3esZ~aZnd3=-`MP1yD_w}J+^gc@`iz~v5${WPdD(X2UGyRd8fK(v9!Lp~^iGataAO%6yrc@Mi|2qiHf4JqP&s3tT76FAu~mZNP~qNWyha_xGzN!PmwrJ;0DLPz?;C0R6PPWcr+&- z)1%CvA&B7g#zr(paOzSL2YI<;yqvy_q}JHb3bs!+%`wrzG{RCZ%-T4P>JmwF)3|u{ z*q5I<8tAy18eq5?>#-f}fEz?}rPn?-dp{LFLVI_<+5WG62gLvA-QD_dV(f>t zWmMAs{e!!Ic>4JFPaoe|TTS<-JDooxf9QbZ=bs(;@Pkj@edp7UKVi7hL*3c_);{Qz zq^qCfSeV(Mb$RFIG>C~h06=ogkF zPJ;jq1c(yGM#ubm&(eJRl&`aopQU$ASV?O_U1u7Xo z_4?2?I8$y<++Z_96y=o>ayBq9I5adoJ}!iF1hfm554<^u1Os${H@yfQ*pGl%6*)C; zNB;<)#5iAWJTo&Zs;HXRAS)wx^w2@sOA%UoIBN_EE-i?}Z{MFp@JHHq_7;6`Xbfwx5?`(HU zh_=YEdQ|c$DHtuz@5@eYPl%`u^)Dhy{aDA_8itr^hguoLxI2YWUDPj}KJvw<9_Gd# zmL^`7#vzWj>uvmBh#UJJp8wi2<;~TJ@4&u)cj7G}hl-%1uk&_y>vm_;R!hxVed&B< z{$Ng0wlCF8Ro-4#Ei=eB$J@1>=_ZH_5OU*}tBTNp6xA&Md1LJl_iq33(StvI^W@*Y zeR_XuGtZB~k-ZWjcg0iUy!_Fxj(qar`)|Lkt)W$(UKHUT5@^e$7&$9S%OjTir8Wk+ z6EXq`8elG9D5!>kMITE)YygN4aFQZrOi5NTAtbIYyK1naXR>;Ds%iv?fiYJnM5@|a z_u^X5;*wytCbJUlnbJKIYQszU2{oM=&4UHq6Xiqj$<8-ULE?Z*Wus?hYv9_AQ9>Hc zP318?11_GI00_Y0i&zV^oP*K}8wo-OP6nq06KJ2-0dPZ|qRSVrde}2LUa>L0>9M}t zBzAUNT{qL)_wz44msOOpak6&xbfNlCXiN_;KN{VaMrXLwyj(qK&R{51cLxuuBhAAJ zE)^diP!%d(J*ZChb{6obP<7M_LIVqZfE(Ir`YO3j7DXP81zv7NKAxQ%R&OMyH9Vv~ zEqSz}c%rhnKabmy98(`2SnB72GUh4v#!=?lY$NqxOZ|8{CEAAus{_5_0_pB-Pmf4% zueJ6T_){MIVtYgUIKA2U<#zycjr_8BT@` z+=1-GY=*m+v8I)#LPjt%n?Wh@aqWm;O=Kpn)f7J%=>B$g3KemGfA`iOpFH~aZ@>BX z@4tVteS;V17bSl+QAsx7ilpj^qp~RU|G|5oee!W(W?o5hVW?Y>ueF!4vH{bFiO`4_ zp#x?H2^yH2Bxqo^V!0>!!;XvsH+p+|5iBY%C+|=5ElMfqENKze4b9Y#&(&cF=Nl%L znx|Jf=T>_cS9<2>JElf!`hA_e4dr!<0&}aviklLu1l;B!Ubhf*1|~=I3?vRnqmVeR z4{vObZ#7l6XQku^_^>4}UScp9fDT9;h-^pr7T^n(4>VHfEqmQ7pfE%!9w|8=*8q-B zOss!eEHg94ms^$F(9)#4hMty{fsT!#uC1}2osq6B-fF8`s1be> zQ$=~iePLLTCWo3b0?rAZB6C&k;#mSW!hjo;Of&6t;D)0)&)p7Tu*eKgelcu??k zp#7V^mZ#kfk2|XG@r&2Wxs73r7)KKuZ6z+pKg-9h(95Zb!yHVFov$do-P`fa%*0RE zR)2Tr)*pZy-+%k3AHM(Q_N`)eV4R{%x~f93w3Ozl<5rSqV55Kh?mLna5@S6h+_2Oj z2Y;G5MOH#Oz&~IgTiFL1aJ-^NBp3=}HV`8Ul@ei`jSY>+Ua>T>$c)WsDySQ(>6>ew zSZbL@?Hw{HezkLcwPyjiu_&0GY91RX?`q}N8z|~J>)7Y}a?3ac4e^zLj)B51K*wCe zwoD~3#q$*H6 z1cELZX;~F{bw6rQq+dcjDcz9}1a7bj8SYtT+8G9_ zxejK96kDXLemzx_Pjpl_0qsgPSwn`SqKi`jvN|pRj=5Si< zLS^CYp7!r%Cx5po;weub|BoNO`}ZGz`0noQ(xAWuC0VYTB1c9_>(tjSS1&qTIe+$x zPe1wa!;rwBwyKsWudo0c2Gzt>=Atx;aAN9-tq$M@kOWf_3p$o{crh?lA%{X?07*e0 zR!eh>v5~Q^hE7s=LS0_fKuz~-n{c&j{#p;h#g{jFmZ52^_bsjVEiQM>&$J4MYkE8L z`AuoniS(GOXQgOHZd~uAl90Um_==8zU5 zHyX_atOUc8=IrI=>f=N4qPu~=fT!qdDigR7&W4~t;zpi>X`!2Sw!2*p#hLHt-4PT} z8{k`>nj~zfMOlNz#u}I$-D&Z4VgC6(E-6mtQ5HHOrW#2!$2LTTwen+Geo`ln#j*m^ zBSH%j;-*`hZ=rt*3VaDio=l91nmmq@tsaqC{}_!_L=7L2^Z2F$*xs4`(h2pm=Gop~ z?LFGx?e^Xieqoyk`Vu9lbfeMs9p%QY z))e3E>-=_h;-5Fx|8VclpMV=be*Zsy`tkdFcSzjGR8tINSpxzimX9`)1SXM^X zKwCdPG_ESQqPMndx=py&w}`wFNSZgtHnv9AHb++12bY(7=jYlchwJ;{Piai6u8b@y z2+Vdhay)bFbchR!>zBd{%C3njZB41~%kLbo0L>9Dw9cS<&sz7=*3zBjsg3cznf8Y6 zvVv-EQchGz9L0&Ip{jNI)jp8Ee(?uMSa;RjnToyzEo5}kFzm|wKpz~3Lb85sN?17NnJet<>zSro8;@0 z$6=3_6s|VcZ?zMx5K!_943sEMJV2CT!6Wgio{!`sBsM($ZXf$MC-$q{0U~{Vry?=2 zxJ-;b6pr2<8@e&rH{a1(m&uI{@VC%ZPY(&mVN!YC&h^3GeJN3kmAqTM9Z#mme^^`k z-RSl2#Of%-Xvv@X;#07dix)05menP(;)7iS z5zI-mbXU59K8F%$G!M9dvOz{`z}SFjfYevu2JDTg$w@?wo;`Ec%ETfyD!DShysxo) zwr6r}cR2V{`n;g|?Zy8;`eF@2$^WpBkF)Z5!fO zw*x)$(u*<@bCP2+;v-Vx!jdr(!_t!@GSj1Tv*HVL<4dw*iqkmx@&1{yfvE*4WsTK* zq}4#$k(Rt*s-s~o5;UHHhJ~?){ZwZ+%F+}pD#DPf|e>H1*rH9^~SP1#_6Mss{v zNq{HU!!FU$3^Y?voHyLsl*9?Tdh)oRon@p4CDqR-KZFJE?Q&zyR$JrkuC{x;uDRZ$8yn!AODYDO?Cmq{yT zxHg6|dXuAO%kpn_w>_B={B+iUk&iz_NSLmcc6(KG5<527Er8|V=kLI9G_pN)?4*pe406w5TENmkzy_JZ zCg>EvC3^D2Nogr*e^1}c_>8)unt_(Sh2go4srBvI>-QFK-Cw?Qck$Nkxtm)P8*78h zbAsuy#=-8gc79G>ReVW7a8{~cLM$^fKQyzwsptK@ZjFe{Fo%1f!37?@R6Q9XF*fQ-DfGu4UiNAn6G=!tI-!#~6~ zAk;rFJb)Du$c_xeYhakae=w8bPpA7(Jn61rE8r=_K)o-TGlgPrXJ@9bp-jMr!%M4M+2IN3&F0i&_uYCU1{YPikRe{8U@>?XltQfgb$xjhEzgro>c)Fmt_K zGU?8hv7y8Kx~7sMb4A%>pMM%c_ecrl6ePx1Wo68EwA~mRLI0+2mgc@+p8sxf_S=P- zZ|A3xMDP^Fil@fWJCY~`_Fsst;F%{Uk$7IbYC}9(_I}~n#Mz@5zxYk^YcKt>_-KE| zT>fkRM0}nv*&tUF;*}`&bw|Wg@Zb%W@QR}%!aXP!>Z;kH!Mp%^WdIfZzec!m3+08k zdfK1PjQ{gGaAO;|@qhjB9jJ;JH?q~0NZg>xNW|+ZW*Mm^>nWRGJayp1_uqf-T|b6z zXLV~bD~{vN4s~U7+}HuGzD{Ni3Q}_CPMwvwC?ShJ0Wvb!ICJWZhKdGUC&`hC1!?*G z^2U+Q;iYj*>Ff7aZ$DhWdw=cDz2)0?=5O7c+*%)80cZ^K`@729_*u1;aV3Qz*~xzK zG2Y=>fhqioriIygh7SX&b4Lyz3H1-jkIYK(j?WF`R)!Zhr_^<2w+`}p?rlB1d*ji) z>yK`4+`qnZYjtjOc5F#FFblo3uWd}wFw|Z>&|2BsSR$w^Y^%=Y7pK%@Mij>dX2h{m zDl_Y*hUa~}7$3a<-ucrfw2|CuC{jU9K~IdF%#B?vO zxa7shPPMn(n;g48KD61{JX2NNo0Z%c9a0$)P#YiCU0yiAug{K+LNj`I69bl$eT)~4 z%knD>4;kd;FVR6z$ZJfF-I@5;e5&94 zt-sA3*sbw}qW47Udn_xH;}0iB@1WjSfA=K6p_ZGTzz#ImP|FGn%J=sy^L1|y^BYQ! zTP){oceg*9979{uf7!nNuMh72>D#CO{=;|Q-MLf7ChQI1Mugl|@D$)i0)ZRq=?1F7 zYBDlM4Us4c4QtZNtcjIK^D-(J4)aP!`yt@{r)2;8_mPgoi&gG)0Vlfw=Dg7Wsp?3#+W zqWs{jc;DzK@30JZYE4n~*zhR4rJsHJDRS7~f9GAGhrNYeTM<7iFg1gbTo{y76J6Gl zT)(|^_s;tLyPNm#Z9KTWdiUny?TzW1OJmn&2UmqXi$iTQz5H=O-Ed1;cWr)aXIYI4$`CwB0gm>N2Y1Py|lh_HfUZAJ_ma}qsV%#9rk z^=x!BtkhM^l@&?E5P4?hjO2)B^uP@_;6`L{5lWf^H;mQuZ4C15jZd(mMuzK>cX3-*6Bynsb{I9F^c6S@7%H3W8Ix(SRECC&ZJ&5xWv6WX)mED#1 zZ}TL-+Tef5Lb-dY4zVo)`z=`TQ9$>D!DY(J0aUItu&-yaeQrc zYU|qEjjhFPP?h!B8>>^Bi{l$J!>bd$i^FYm-3{Zd6@7JiZAHm7=@Er7?36@KMrC%> zv~ZqI_e6--Mac^qy6Q9r)d#KPQ zk;0DF2eSmfxHHtd)?7PLlG~FJ*A&Ys5A%<*H>2vPXi1zqb?8fXYl~o-M?5<)J0_|u zBW0wieiexZ6Jrl&r=Bj(ez!D_@$LK!y4ybmRY3)TCa-RtU$+ehZ}t2? z|ET{=Aj)6<$a5=WG#oR7jKy|Z6`^8S18yz@2+m=E<0jWMC`_BCJt*P~;k%g(w ziP6TPo~q8Kg8JHwin179PH;w?UsQrmWKMV{zouzVBO42I z2~^zr;tTYJKX>+AetKSKaZ6@EYC1h(xP4->cW!oYd3I=Jc3=%-W?*Hee|4gJX{3Fw zw{fhc9F|5)L40LeSbltPN_s?2Q(4F4*tE5^4KC`$u_JO)l8Edg%nT7Xao#mE+^h&Q z!`;T57`7JV2KIoqxWKVusB5FGZmFhBP!&Zv2&522kzcPRbxG;m8Q=yl61YLjvd{$x z7g+1(*%&5S=*1Z7mfKrbJK5#fTBS!uOmwt8T9`$W^24#gtq%TNWl?`dd}DY(v7bja zlbX#5j0<3BN=rE#>Y`nE7>%0f@0$}E)S8_>R$e?;U$xfKc)g=F1m2Cu_Nh5f%b(W zJSc{LZ({W3$iQ;9V4$wHG(9akz~4|&Au)iFNOeH)VQsJv8VJsp=U?w=ek2_Jc47Lb zjWvXf{^8Mse|z%i>Gq9MR=|GT&{N7WRwr;nOP--{*;3-P#Gx-f0(89n7Ls0bvvbA- zqb2bLiL98UfVeykw>-Y6KC`;Dh~HP$J<>2V*(#jxnO+>2T^U)}7+>9-T!$jME?iw4 znVavPo@^HOR&}@W_>Gy>l}W|C$lMHeN?brxMnFPI60f(tXL@qV*~tl&JwQ(mfBw0u z#3d7XB}-*BGey<2M~;2@@u%;<`ySB4+19bUy0auIUr^USz#kuKpBQeN7-^dtX`dQy zpB-$Q9%z~9ZG@%KTb<7@O0G%{&q?IKG~#tN4fgd8=;`Uhm_eGn{FO^qhB`ZH2K*)> zK?8gQtV9GCJD@=YZit`*`w8f0w}V4gT?Ot}goy$-AZ{S&3oV&;a3g9LH%!zE ztn~7%^%Ko?qV%*%Y|JYhthttEDdC~xus0Uwepp#}GC2wXYPqItG$*Asie2vSQQ+%d zofKJ;5|1K*4!T+lTgwoNOB{oq6%^Q%n%Gy6Gg?|WTT`*Zue*uzk8sFB;s8m(80Z39 zA^SfP>kF~aknWZJ%eUA{2a^2fJf(lh9DE+uo-LHm@Bdi~Vc!qM27_q-{xG?{R>Zy^ zzVz+0zy6n8>96ox@@&PYxBuit55UeM0__XEL1C|Uw-ai7MF~HPn-v8q{%__czh7JW=bKyqdhgEfAKia)eX}IMe;;lniEty+ zP&Haho~d}*TJp5o>8~#wJNo%YAHwYTlg!Ww2aRPrsle47P@AZdgkVPXJC5(F(z6^h8p^M$_4zq`kFL^n(*>MvQk)yasE-6 zfhjfFRbxY=6XQZOa)PA+PIC0iFV!z!HkMPgR8q55({j|%b<)(=x*~J*%LAW%^zrAP ze&*puo$jCDmvyvM3RA8`*Ka}+6Lv^UP)JNL1}8QIBP4cb8-yh&k`>7D z^JRH^GpTgKtK#fVbwmX)^rpA8Fw#*|bcMaK4>zpz5=^xsbkvJ1P0DP{)69$$LW0NI zTOYtDvbqRnakszgT2u8TFH?|!+UB%ex)U#$S(X$-F)=uK@JkOHt56@W#9($_d`xRm z;b>$1LRZI46tth2MB&GuRu-XL{kXjFJ$x(k(@$n5v7kWCdOU$KD)z1X-LjSa&-wFM zvG>z`Q-hrN`*!aA{_D4ipNk|8d`-Mf9*ubW>eC>;|0_)YKl_+_FOxiT@yUzAM0eYc z6Fdc>K15?;wETlaHc?g9n4OUs!ZKD-^s={!pg0DZ=|$TZ<#@T&N3cfo(#a+WL@NFA zJg5r1D*yK2-jl73(wE^zu8Bsbp_&*sw52W>8|xYxXdgK6CAb5YdsZN8VQRjsqJtNe zof)3Si_WV_FK;X64^;PzHVlq64o~n$h0Vj`O+(|2gQNU`p{BmR+HOHvTXRl*by6uW zEH^DMIgS|}=N$pM!7puEnqNff9LoOS;1DxToH%Y}Y2s{eOLcYe@u2$A=>a|rwyz)C z&yPW)Dap%!`T6H>zxCG1lP8-?yZ9xY4Mpu$dHkx}CQy<_UI)Ltv$eXrxk6A~*i@2T zRg_lEuMnVccyx61!Gma=_|e7FC$*I1VP-gsZ49syF(t9JfPsPGVP{Dt2f0VI4LbxT zhl{z9Bf`$LH3+Jrq+kMjLrz9d`ijOS35Bz#MYzF12Z}69-5e8*0!!UoOWk;5jc_eh zp1Dz}m1&BRL0m|Xu(R#y>eBzQzJicE(25&v^|K{8eJPRXN}uoPn8&2nro`pPM{7t+ zIT;)Hx;UbeTT-B3RZ@IcLC$1#*+v^*#7#PpAAP^S>(Nlp<3TJX#8Yt_8PlLG1Knsj z{fL+XB2A3&usmmVh@UQU|DXNb{z^X-pDm96vNIHkHZO3HodBmXqDzPJ{X@ptor@Pyg2Qjk z_kGdc0B*p0NaBW#<^N-(u4=xTnTigEL{(gRMO;Q;zF1IYD zxFM&ev#hnZrmLq$&{x^jThY~7+}c^vDkyD-)1_Vw^bCP!zdr>5QA zDW81&@v*NCNuE8etD@v&W<+{ScAX}Ki17?A?5%(pzzs2Uh_~@IinaL;RoP8fA$W=i zH`EE-IJJWtetU4kLMP5hJyb)v&_ut)!Z=A^CyEs?*52}TbrDI*-_6fF8Xef~Y+kD5 zjd0^z!vjivTnc?$>yjdCveQk}ly{wANQiipZS#j*%R&gzmAjHWmUUp zOOh-R+af;_`InzVzi05W=V;`z3nzkT!F}eE7QYoaoAv|g4m#~Qj`-kvKOztQ*S=RT zyU94K-79_RJt1QMuy7C;OT-c*wGIB1E<7sN+M2F4H_X>nHgQvu{TK%FG6ZfoS^F5M z1)FK36+$kP+7uTySz2(dwE#gg8<|!y?Y0a&Nh|!Q; z)tpz?S=0g+gTXIoD2mTd3ye?njON7^2#19C@80w9@PIS)U050)esJ;lF)b-6eHj^3 zMI|d0HG6e!7cG5?o)J~wgl1qyGcflw#4rPf=*uWvI&pePFxpxxD9fqMh%QWz%I9TN zv{ZKt3C1P|W@g70SLQbs=9VHOB2g{*{SV%kxO7odSJT7WUF0$GXN3n49+OCRP!!=V z0Wsmkf|U@&Bs7jA9-Qa43BnQ_6NDcIhWh!ky}f;ruHs6iI-&>|l2gpg^tDyw3EYV0 z6#IGZ+8a8#7CNzpsvI@Nd_&zLQ-cIutq6a=;a2{`rG?*ato^t+`*?ilmY`+5wsbl# zwKp!L+K*D;=}^S>sZL9DG1NJJ;B!xVyI{IU0z058Bdx2vc(S>1W3cZYx;?^;vH-8j zEC|bYi*w&$dw%Bog_-Z>rm(nx0g>(%GJyrF4;J6Q7s7&PerGN`hh6)o>b|#_2>bSs zb7#-a9pg$25#-EzMEotX62%@+dfCzT9P0J6QhffeD-pbVjlarw$WwjwJ=wX^`y%f= zIvNm$C?TPD-sx`N?rd3Us%haSqv^1|+*NN!n=p4rrjbT~v3jJvaT?vJDxB4yn?6@l zhWMiUql4ehP5pL#`L|ow?k&s}`Mwr6l%#AV&*(^9Fg4Y8cD4bRNBM44oRF5jeDL6b z4?p|>l|?xrA+ysnOOuOJ-4kPi;o;7K!Pfrnst$g3eRW)UMQm|hYGo^r-(4r@Z|Ui2 z=xWPvtVynDDsEU^UK!}`*VEO73JPv=@Zgs!D)M$V7ETVvexD{Cih-hJnt*c7zh>gl{U0Fu)CWTfxg(SdJA`=5ZDfXNbJ=c3e= zL4w}G-VjZn=T=y9LhXC@$eq9H)Be(f<1}9S{O{R6fT|-z;SsSB4uwb5weT6~ASj8L zi4Yq^uoF3ummwOWNZR2&uVO|+Bys$`fa=Svi_x;6;I6@S%v74=|J| z$X|#q{(fl=Yt4h{$<5&bK}Bg{a)O3DSoWnTZ+DiZp`U?jsFi*a#jb$m!%vPKF3wwN zuHEhv+#4JEW^Ve2)x}%WlZ8Isud_Flq--yp0Z)OwfnvN?DvGFyXNNMpYRYPtBv4G| zqxarJqgeP^;$q{ruW#R2zkY3Qb#ZiVtaGTftg$F5FE@%?o?6sd)jH8Vx-z=3HnFlW zwy-#}h+goap`lP4AX9w$!3W0=9#E0IsCyNq!ljMnk@11zdm3OUuG$7vJ!6`IDc#VV zZe;0gZ0TiUg;!$3*n)0k@$liJn>TLFPA(4!#)Ts@Ys(vVZr$D5+$tz2)Y8&IwAjHf zKEH74B;hyF*KxHpBVxC#pLt2BwwA;oDTxRiNYEg$g63fT3`2Ht10W-!Dsae}IGGsO ziKvR1vVyT3l2fE`qZH1FcuI6AX>a5bxS^e6t`(!N5~3oXtEW|9s1vQO65``E*wpxN zVfKgBC71`_2uBdUwNBzjQg~w!y~xuZm8I*FqH1$8P!-wD#DEFqisqgh!mdk->n+Hd zsw!D(sNQUCxPxMDeVq^cyB-d7J;1+jUni&v1arjphM}G56@5I*1=G~ijAKZI@ ziaPam_2^*@zsU#hy$eC({IR3jS1zOCjR-VQ3`fyQMb$w=%SB6%qH9FcCqTnX1R8(~ z9}_EbkQg#DGJ@ul)+P7oJ6n4~1p z!6DH>oLyfDNlC)uL&M@Z7@_2d!#4JWhGOhe6>ydi_*PS^ZdYelIl1<^hG>TB=MPQwrT!@~486C-zk8+8@4 zysV*==vEG++}kOeVpR}`O3sP48Y)M={LIhADaMzF3vugn|eAs^$lK$q!ro`~{RL^|QlqWsrhUSG|}@u-Wv z8~dgM@zL%qCO>-i7I1-&h}T_kAdD+9zaVysf>^{pg&ot2`2I5(A-K$$Vz~|vBIxisIM9; z<5kATWU&1rJt-1jA92)Civp)G)bKUb2(>j%^l&T)@@q_r8A2*$Q|)!+Q4aS%o)T`4 z4HtTQisQ_Qc+r>PhVGS%W@ZL1F1Fs@6hA-DfB<@6pch{K{XJ11&)Ul5^y!muwScz3 z_BeO$94cL);uhMe+`4(|+S;{^wT-*C?_y>@eDDBmlF+&uUqge)0|&l1b?StXk&d&I zwTrWjtBWng)s9MW^7L@^p;H4GU?{#KhQbChi3$#h3gKWxb3(-UI+ z4Lgy&VPlRX!>i&zP!$an0ozH2CG3rp`*FiuJI7QbT33;+D3h(Do~Nf7siMfDdGyp& z-JhBKX=VP$`KfP(!}ohTHXAAzigSijWB9>7WnPY1&SsgOP7PUUSuqhZXHT)Hlq7aQ zc}hZ8N#R68?J|}CG^QW!zdg`>y|?2gcq{CULD&`Dk1%)eob3AD2-lnF;lWcRUbV@& zw(r^7`%Lbe#edn-xic&A=ZJw>_5u#<+e1!-XWz$9MhS^PBvTALBNSwY_->N8*ghw% z^ygoRTt7G$2(}`!;h%lF$KfI-K@Ug%1I3^JvYYdtA$^GHiHND~MtO}B3eLBQ10xwkOktSF z%b6+2TPVSYf+K6WXzRP{8hMBqikFdvw=v8NYli905O1G9ee%em1Mj>Iy7ShdFTXf* z;`pWWXOv~GSmJ~{j96lB_lP{RNVkUvpNu0Fy^3ZrF_(9i zs$a123+Kpl2NW?8GS!X*F7aQ)PGbL_LG0OMJm4c@>D(RUTjKkqnL%vq{#;}~V87_g zA_HUBq9Fd9=uhAyazp$oIuqjfA`4^}-*)3V#8-i@?Ogb)Z_%^w{5s}`_+<8Nh%Vt7 zAmc3v8eD1Q!hsb83kOK+O_8td4(5J$+eT}{d~IbvFSjB-I?2~N*wxX~%*aGdF^1{o zWvu0?tHLzV;n-Oudb;JZ{c7W*dh@boYRcE!n$WOmt-B-7)BVrK4H0wzJpd*cmdXn7 zLLT|zb5M^D-+LDh7X)L#{Ls_Wg8%|Bfyd;N58glY*{4@doivcS3g0DJq7xg$P=KtV zXsv=nX*g+WyXhKI^#~sdND4_$7|(FShG}L)Vu&Y`s%xYprzD4=W1#Iqb4FgtGlxhl zClt;Ra_n*qkPec7geMR*WY6G)VbAkB36iqQQ$SKUaqy>zcnVx9QEX(^BUgpV^73N1 zQ`{XK>rsnM+=J|&(GnNDWeo(?F)QP0a)k~kOQ;g%TSTb2~xTUs>7N6nwk8$*4!P@{Nq z9PPs4Qu%&q{)eS`;&plc`^7mdF>tRCsVlpAE5zzU5SGzLyM%>wuMo>LDGC0kFS4Y8 zA$r~Wv=dY8)e{ik{6$}QHoHYIA_9lK2qOjul9J%9h_md08Umws&qM@GBzo*U)xF!# zzAQTVeQ(Kc5#9$%6J)X87owG z`$}h9o(JV;af9S3uC8_{+3oL7XR*BZ4wAEww8ht#28RoByQHKfj~+b?^76?iAHVnB zd&q4$bm+kG<44sHOX*^R0813C*d5Mzi_|chhclh#>f`0^>qBSxGC~3Z;4Xm=B~rvt zz)fN}VKJdQBQ`WFHY^;&%gL7RXiImn**iQPY<7r-gSF>#1N(`O#5;GtCbB?8W(G-H zNCyhl-g0Ny!E~{Jr9l`QaKzYHKwO1Og^1!XHLy3(vC>jUe*|6WtE!R`@~2DfS(G#buZ5zfzWsxvDwI?pDfko$e*rhobr&Db^i*p-` z3za1=pg?hERCq&f)@VcRlA!JSVBcK;4y3BdQOF}tg~LzBho6iMK88yL*2@T7_M+Ta zQIhOqQPK*OG0_@CsWeFN=TpGaDk; z30bzCbffGzQ^+Yv(i0KC*c;X*g2Csby!KKOl8=ykL~fVoek*pS{QvB|_jerUl`Z=7 zd+)B9D_fSwwrtC`JXWL_L=rh?pc{>xLnq`M2_gp~6FKLcNic&6BtQZnKoX21#Uv`r zp1JS+4{x9EtEw&pics)afoq-BtI%jPL3Guwv(MRQAL-!xzWg`)Lw#qG!Z{l69FcY8 zNg6^qOALh?D9C2#&Oe!(h5F*NE0$hHy_EIGIdnEgMqz8Hz=)ePrVo}QrAW z5EC#IA9E7~XDb3{ky zqC;}&wN5sI!zHZ1)uZFn1n7z$NH zB7p`D7;Y%U17(OO4|HQGM9`qB2(@3u$_DhJ$MiIAJqUO`-NEy$;z3Oo%JVV)#)Iy^xT5)^bZO&DA=oWm{^gq2;6f8< zf`M5`3BaZYlmo~DUxKIL8U8#TdGOarU-It?Pp$(o@mJ;l3;wIpFG);9{9n+I$o>i0 zJ4mmgA9+SlF0?8$wQ+xDU0PE6{`858;x=V3$#^raDs81#sO35O2X-M|w(gEoqpuenu#r7hYhVIV0~*&y7I zNQxXZ03GSMsp}#wH+7T9NlD8|NtGfyIh8RK@tI^fXOV~r7!BtpAU-@mQW97*C7$(D zh^LVHORLd`1O|J1dbv6~S)1ZpGe?tr_vBhWl#@a<~8GwSC&~>a^sciUX(Gnihuou_*lU znG?9VU=-!yImFE43uiu>I|pvUZ!u5l0Q2?dXvBW@EZQr0e2gi3yb^*jVR}XIG^QdU zV=JW7Mu@ldEuQxB(|Fx6zlh`~KYwQ3%j93mUqC--;3J0XQT}@ASoW{1NuQ(_7!Eih zZFW_z|JTJ|fWJ9@8U7~x7c;!!GcIcR3!eMOl)qShEc<`azs`V5z;?n|5d-D)1U(eG zQyiXncy#J9z+`$HMo%}#2d@wJFZ6ZHG}nz+lyv0ot4NH+at))m{^spq<7aK^VZ6ik z&A;sW)9?1Y{wIf>+sgN6HWn8)sg}9^?usD4=jIz5c}iTIRvznU z3u7+SaN*RIaJSm5ml{l;{Em!G}Wt1tiJKw3&?dFk=qu7yJr zH%}eAhe`zs7Z=YF6r7*I0K%g)Cmx)J(g}*tBkW#GDFny}S8hB)?;f)Ai+a~p=27o#U&i7)Wv~+Xu-m}fmczb}w9+lB9m{4IH zTV-jkbyt?eMNc=^&otM=e*a=$R|WD7(eLY7eb{I56wX$pM>%bw+(WFIA_G|$ypD<; z?#Mleun=28?y=Xy*u>Y|63GUU6v{WC^>S6Sd;<*>trHJaMBR0$i?Y!8dhk&DdI-3| zD;|U%0%C*_RgrNPlsG&Qa$Trw6h)j;A;jR5Zd_T)UB8%NAQ%b)pyBIm2b3nyz()jD!sh6? z%1iS5g8dg1UvT}xcnfTb$@?o_tBDE=4)gW$RyaG^JcFm005_uFdkd>-2sgIB8{+2N zTabOVr~N|+K6_g4w$^p&BOJP&U}I**!h{Kv!_3uJNN0_c?iiqy@V;13!h!O`1$3F zc*HSA8gUy!t?cpnGf?jkRXIC@5owyWXC0OYr;bxy@zk*o*WR_)+~VmjzeauW+-prd zw^@SCF0Rckgw3zhP2Zf}a4mC@{}cSp*FD3(-1Kqlzs$24G_-}%5 zNe7$0Y||(Cn~MM|_%c!BmGrTPK~L_YjvaG{0lJ`^OB;{Gha`GZS28-b>n8?UbzE%}fg^`rtNF8-j5~3oLVH_^w zDO~PFJY`Kwh4B<$4`do*P>oc~I^4s3#C$_m3zgE0P_8W}N+OKGO4&sa=2pb)B2d8` ziD5MA27_W@8v1mdqmyzl*rUk9F2~*WU`+V&!JZU#$nReIx0DDinC79*wkt;t-Jd=8 z(ZU=i1-`^+Ge(WBT>5fp{xi(A&7a3O+sDxPoS#AB`r*kVP=(z)cIYmAS`JTO#NZA) zqgakXqbnbv`O6Xv+{zhMVMS4Pm#3S%m@Ux3+KXb{b+PVC`HOMPFAe!?_%qVW=*zV@ zAbsmu2f}+wIm6HAfI&J{qQu@1K4|n%_?SF)K$ETgg|leEqa**>;{0bzmp)%!`0Cn~ zudXfs{rbvR*Ox!JvT*Ohxhuzx%nbF9G&STW##`-tFUnh`ce4vI-4SH;ZkWk-jn&>L zC(9(2^Zp>8k_dHGVr)xR+E8gBmL^;p=$Rkts|X5sE^cg*r>x68q%Y-J2ae^1Q?y-J zX>>Pa8!BUCZ<2dilXAnxF~k<)4X1E>Qkbh96dH`BAm1>AyUvjk4-qi{9TI353L30| zB6M9P@h6~z$-vw~M8pW@d_;y7fdC2~3@ZpR;2%hfR2-lY#R4WU6b2eL7;WRY;bOFB z>$riPl%Xz8z>Q^QZg6w7f2p;8uBzyGR&rl-cy)j>-`yt9)4nA$>G)uu##`lOWtJHp zT$h?ST1vwLD=4Cl_J1%j1Tq4Z={?p%A%=oxE}V+TX_Out`XDF1F+Ox_VuVH@507ED zI3HI*jUA(KA`{1vao|k_q9ZLtqDa7DEvhGXi zebybUdl}$D_;DGI$+1PilV^Na{>qKV_}Pt5Zt)r98+fHuyWl@1e?$I@J@?(V_%iyp z@Y}LKDFB~WvFIZswFZ?#k!GNQLdgbgZBm*U5&1{l)op>B&%h zxtW`$tAj%=_5|;JC){M4)^b;*ok_f_ZHkv7C&aHTTHBPK*i(=_SzUg*y?J4{e|}`3 zBKV(<8xnv>Tn2;%R0TW*?G>zJhTIgz4V5t(yE5FcapJhaN*t`K;^+qS&^k#$+EIz5 z$QTNBScH~JPO8{3N#P9?Qh9M!!o*-SHIFG3;v?~xSRpb37h)DL_2LniMy!MfxJhgZ z(Gv{OgP}wv>ak9RjK3m7gTws1e3V<^Dabc~8zIh)kl`)$cVT5)a-d&lLC(eI+GE+N zebHgn{)!wos{%j8$iec-o=$HETVGqNqUeauysW8%G_$D&XZ>gie^$jg(gWEVZt}}y;{~$mcQ<`<Khz4f}HF-veFkj znozkKs4Vrfw;Qc29WU757OO4wci-n~RS@Jk)z$znrq^HoMS#M!=|Is`SNnyj@te5b zF=DVV|9D{@74nalF2QN=lf}8umo9yQviaqUaDyaW=y|??iAol@!0+Sg78;1W#ZR?R zx#pbl6y8r^=Ol3x13kgXERPMR@i(|r)B6zYus6ixT86^^m5uNJ^;hN3=)1i1Bqu58 zp|Hj}7>d|NnSDajC>KA*8L}{kQ|0O6CD4;kuP(uO=gaHMpI*IkclPYX$+3y{mV)F& zm;`1=s$*QN)u!8{EO#b27^l12W&0_M!u>JV)|`>tUzj^xS9Q9h<6gS)WOY&G8%Q<~P;RJ9kZ)LUo)TmYcP~&CCs_1=st{XoKx2jVRw(PB z;E4x=3lDna?N?C?D%CNFlBg)CjzQCHY>1vy62Jv^D#_u(MT84y2R~;AUnhGp02d%8 z6uA2XG|)yN(6F;YsJ;HPzf6>AN~HoUREsd+%MXn^Svc@hDrLx z=?UF>#+U$%%4HP7Qb<Q+oZ^y#GJ@TlBx9{~$+f0e+tap3*N& z2mFu57$rV9^75ii*}0mz)3GSnvnn#IH9e^}fBzUHL#>T-13k-AV>eG8y?SiA zS{=G+zVYq)DVt)2{7GKx;HoebH$>bZ#h1zih8q_CRNt@-Vg_Gu1q4+AbcC&ejy32( z^duJ{5ff40#RpG7NyHJAqX6LqoC|zeRlZm!9g4$rIG++U8P!a?}0t%a1uoWtM zPTt4KbMnZ;Q{+GMaON27fT*+2hd@uGZ*t-x9vLg45f#1;607Z58wD}|IYSZD5i*7% zJ+sBDiC+}b*)IGP0|WvGF}C<_U;i@c-L`V@^>6hr`0mEP3B!}G`@b;Y<&_OK?97++ z5rE*7V3UlPM!{_3C^Vw9N0^nru8;J=_it&S3oVs1kbadF)uki`+S>#=+ZRQKC%alF z!5~y&Rp_s%Q2RH;>$`I@#w&`CHP)Q#?N}HaT0M67E(U35P8`(8{5NEJQ8{jWk35Ap zR>WbJ5DdJalY-EygCn$I(RvN%DHu)xRY{JGO^GA*7ukFz2!w@k7Gb6yeHKnkHn0+& zW3WaE5)2siU=s>*3@O22MNWZ-PvjMbUyC)rOO{L&VPbu z=yCqz%Y2q?7Ik&1Y+&B)+zh5yQ1>7tAq1eS6$cNGi+5YPZLLw5uRB+z3!L8sr7zdL zjNW$bss1&uT=%o$b^3LAh4>nwwDR-)Qr1r)A2TlZLMI&`H0oCu&Z66nfTI`f4yKs@ z1Sbi+p_UguhqD|ELa!}9U0(cf?!t}JCuhe;ApHvVaDVH!ujEB(^8!^FZkD+oc4a~C z^%21xDKW!EIWXTi+tG4yxbMp0iMwY`VYcPg`7^cp=lgwq$9~Gje8V8#Ae}h^;xr7^ zQoiA9VeM}T7h-#Oih`{$BVsY@pu|Il0P!jZzq$wumrV5bt00bLR)01;BCtQl4?xBz0nCs2t6OlHQc4~zQ7mT|+? zxi4@3VteCiN8@sR<$PuF$>N-<7_G@0ufO)nFaPwbUj#bY?Td-r{`#Mi0{u`F?JLMW zQD1ebtNHfW0OVdbM*3D!9Ubb$9Rw5f@Frz9lp**yAst0_id)RxDboBP8$nuvS{X7} z>ZGtydg3EUae){@qHCzL$;pU>6~cj7msoe<%fI{@xRAe_{6}#7^Th$N$SnN@iAQwNtthV555V>Y!QL8 z5gDeV2-Wh42-QY}f}2q2Loh@irU?iN@$vFjI=gI@rv$k=b?5D0=xko;XuMosajB~K zRDR}AT5NN2jEC{=-@Np1um0lS|M>Dte|Y6rslfpyu~7rX`R7~f7kk?=zjhC-WP)Z5 zI7Hl<97ewt8^)=G4#WU?A%C7jL|9HzjzOG7^x-6~LDs}FgJP~&79ItA9O{+u5*!{k zoZBy+`KSK{BoenakrS~($nH39pr(zx04aWH9mXL{6YxPc5EiuKajH=HYjOl-4fwR& z92;02>09h+n{8`6Qd5DGuslB6=$$uh-hHzsCAK(7Rpjkh6YkTUq#w^qKX$NewySj+ zHnt~^eF*zFL=%>U)=;9(H z;-ezbRY{1BN`k)y5tf*gIN~fkQsd*`ON;=2ffWzA@lfTHl|4BnL5?9N7(Bc1XJkak zF3=EROoVEilv-GN+8``ozeD+hg{ix_vC<4-q%<{z!qi9>d$FmF2TX}+F}=}l`1X*_ ze9QfmU^kb}{2Y9IH+$Qcn`AJFjR1*p37uT+Qd2RWNl|=}^z*gWl2zx-Xb;48v^gkG6JAdX0mii%y z<#h}c;c0Y*5f+@5tT4#WL)l%-PNLK2qXofFCpLXGPCe8$F>w}KT8{yb=ZQfaKge950-n7 zB#Z~rk9CN_D;PvcSZ9Rb!im)`I2mz3AHtB6v z`@zbU`sm0_aYJ4g{ioxGk%$|n=I}80wXl*whaGVi5j}!z91y`2j={DReSRCvD4lH*xovSH53OnND$YxzYt;WB* zV1K;7H#R)#Jd`=Qa101wm<3*K=i3_ap?^3%{`AD5r^gR{a`MP$GeX#lbe*n4gBO?f5AH7@LI?jG*M43?RZ7GSnomIq z3%Ef=EpV2jm})$X)8mtq)1RH3euzfYT4SC<=NHrWrm&d>b&>Zizf zN*IRdshNTydhir=5aTJKm`_1VB_=|PDV5kr5EgxW6mgb###umH5@UEoW6+)`Ol&kY zN;oT_nx`WQo;=5(YNrF*BDT0|dt-C7EfNe%Y(4X!N+y_yi90b7V{i?gTDZ!R2bc)K zO2}Cj+*yb{k8cSfy#OYMASu|NV!7?@XZrRy8s^$~A6D(D8mcP4IyQt-)y@9S#paq5 zMcKWH`g)CDWr$aQQFd450qgg7#DoT819jtpV(jBPKQX$BehOAYu3r7(`pW0mP#XtT zx$@bS1ys&ILq>Xe4pfEa*Dym3_1Ekf5`_vgYs66az#1_W9^yH<_G~op5j-!y&GBRD z1m*|pA2;4KUp(`@{{>j-iBlCaPQi!@Et-cmm|Td-#~ub>xY8HEULgy-{Q2@CCQ}$& zS^Dbw$`@CcpI*8A;oSMF*nT?L-+izOmS31sDNT;e5B16Oa;gsZZcEUP=4Z^b)GUtm z-<~=45YtZ>Z@G8p9}ho#w0f;E_W8K+-SZ7^n1h*{aGv66W-Ls?ip>-nPGNOXPg65E z5+mgRTk$csk`fP2Tl}qT5m0v^1EH`+9%3idF=VWSA%={Ppmt$xf!*;QmSzH6pi&_) zkv&8-_7Ksa5CCBfVsLN}zQtcAd%g4SU3h_j8{6N5znRhBp5gbk0XM?EJcep2VMva> zu6IZK;lFXNqHrWVwnZOU73SGrk~`Va;%085R=TDH`D56*IV)|jq~LUOEs9!q!AHgh zZ;TDVL}hspEtP)sQ|_TIihP5D3Z$SX!mWojPz=&gVj_a9izHh-36TyqLlOZlM8BG6 zfy@u?PW`=Kt^c|=-1KiQA~t=9yfp^3Ig$-p4Gf_d^-~}&e4MH&+{CqyGo`DbK?VuKP0aG%+LSFtb2IR*oJ35cFp`c>#tn7(oHV1~4Kt zN(5HIC`khKM^47a7_S_5s8U#q5-b)wZUkMe`t8Xnh5j9 z^C6*r!680DLD=&Mp5p4XiQkvmK^OCi4Y;B9@gAFmtB0?(*2cO{6r7mp;C6{mc8njYp4f-E54Dc`k1Jb9jm%`r`c*norS$L}){y z{sLP;!1xR870?!n2rbBq5RpV-q>!-^8y^%riH}&JKf)3WR6B)IC+8zl8I$D?Fg0D1 zTCl7GxyOb8g)!RW3ddAqBUck+A#jXmjP|(f-HogRro{F;wm*ZXY>pdRU*FND`a9@{ z&K$onF}&2%ey*l$e1B4Jg0?LpXuP6ux~EfVWf~J2l#>`=TaY)@RDWi4aAoG$qlJ0u zr=XK^eHpuDzkrellAbFI7@Fohg^`r=PvHtk(yvqGmri>_m==^bQ#O<}_&F(_frfKZ zI#-1k{OfMGKk$qCU-0WmR0YWZwn_w0%xgWdUak*a~`Z zptp|~$n|US*pU(sb*D%0ZggFQ+@JR0LPL;2~zjfL?+ENFYQwD9Sz z8()2R@4ufs-Xd;%Yo5Z>4C(P1JcV>$Y#!DaBe9rLfslj3lyVPGQ*%!~!i%J|u=%k?H0f=B>1Z1EC zHxpwwQ&TrHGljVs9)&P9bu}>om^km<I;@-yF{7kvh9`1y@BH-2#T6znpP z8z76AZ>~99kkOl@Z;c2ZuP8d&+vRR$p>=nIvr0)!1oDlsvcl6%lyBS_8@fAz;gqra z7(-xkjt5NWg}fA52TJH<=SB8_6i7L!nL;Wr!=#Cnfv^;J%`gZcLIMy8H~t0J#S4tu z@E4Fi4gtm`Qps9iwA7N3P<-h81VH}DTPhF%qSy8z_URlNMUIO67G`maJ?*EPYKIRL zV46N(uZ{9_hbEyiH9jxc<3NaKW4xw6Fa1PI&84w{8@TUWS^VtIt-n9~@V~Gf@%F8) zy2#pW)K6v9D4aE!4K=BU?4+z(ws{#>MD35Sms2E}45#j0xjXDycl_ESs z9j*@#(}jgo8yMY&v&%7>E8J>pF?zU<^&SRm9FMfWqCvC zu^lntQ&k6!^>+gXVeb>?=bfWh*QO*473ZC(t6u8sz~_E%au}cixIioR3G)M_*(GAN z6J)jkh&VC@o{Px^AO$BqU(y|c>3*q-MT>wT86}fI z;09-}526-2OB z!y^PX#X4;$0weYm*g%JnzGMeXiNP8rmS!q*6Us4I&C^iu+>l_Pl1V&;@ev{*Mo2J> zkWnbjOqCYq?v@rxOG^q1ONE663rmHimBPvzp|rA52w_c6T3WeTSh|{-JDZv~?%89t zW4qB?Z|+#XS9){Y&;|I9x3}G!J%?oSqqC<_$-L6jcDk}?GCQd!L3gOS6vMDywifz8 z|Fr0+viFh_oXNLe4? zXMseHD) z@EJWWVyyoDg>%? z%*hXz7M|X^@#O>L8(YPV?>XOqV-F@_jc9@%&Y~=KyRj)1g%Rw(_9~5ySQxvTAWRS{ zQ-rAyl!qYbyLe>15;J#J#~@Y$ffjF(Fu33q4Awr<)(Hg=tfI&54AOV*;uF*E{Op?Ir?yjb2@YO zjh7cgu5+cYleRh%Lm45(7c*wLF$Qa|VJ6;ymlw)nNLGc?C{3dFCY(wRA zVP;>lzB?^$q^cAvJKuQi_p!d7S(;GbMo->;3_f4Pn$G@izy&f-IKPpj!UV*kLpLzB zgo!pNNV!)z=2N&jl+Ca4W{Py0Ne9oloxPwiKg7SlriE*E7ZB?k5dL9a2{#e&6nNU> zky8+qwRnrkkM z4y+=LSzhAx4Uwlr8}y>D8TQf3b>`olr$~w~OwePO3P_3$5=|m0{$Z#sP~aGH%^(C7 z8MJ7dh9b23Fc#t3h;SVX`jUN1gV$(76s9yMLLzXc_g>#3*}aQE35ktR@B|-02nmML z!a`|f#j*=qcN>JAyRAJBrLDcvhC;DP*ePtpu(nfJ+qqfUxLR5{nVH+{*=zjXdplqM z^Vj1BRw2MAPzT)T?)>ob92z2@Ub=uSjqnRyXsbPWAbU71x;5l({Ls}Y0WH!ZYT;(ykDs0IkUqesoFVo;5RckebuZ@HT59Kz&CXDLmzd~K~Ddiia z-*}#%`FG4Wq_Grf{Q)+$Ny&!58tBp%>I{rHm@0`ofPk30TUa1ehA?->^9VCj1Sck< zYRk}9WqgD{1Bjt8!FU=3qNaF57Un2l2$W+Sb+5+R5C~ zcCWF?_8q%ke|;;sp$`n4>gjs8aOv->S5cR^hkRpnaIU51XvzM;)Ts6Z-O;9+!TK7@ z_uh&1@x<+*BsQ{nU)n@j@nUxymWd-^LCj}k9~>q(C)7o0d>)>(WG_kLA8zNu=NIIr ze^Ro6gCE>g@B-GJ_ZOf~jXt<)x_*2ilH3ih39tG_fca{ctl`@jvV zZ`}U}`mneES-2r5DH3k1wcU8%jTIu8z?&5((d#rZLAw*ZPE;Ex831D70%xJJvJ%45 zQe{a$E&b%MLygrHzXgBSsT1 zMqG?W40T-0`iPBIuZgJe=*X}reXvgL9US1I!m8N4TfvQpz`%*_&U=?Geu45POd!vk zgumZHd)>*>oT0Rs&IJ9jmb$T)M$F1Zc(|vA1eU}^w(U$ zDV5u>`2toj!$6!TD2UyLZP!TOQg6qZmWHwN60BZAm)~Lc&X{1o98GYN(srMRU1^wC zQ-U72G1Jy?d2;jy?0T*&e$M-_PaZ$vxbeJwZQq5btYs*)-jR>blW3Dl4gO*3z%VuZ zD}t%%sSiVE6YWm1=AacW^JtweTCa;?LB~36lokOfSX=qzjS{MS3TXzaA{LlQ!I->_ zr>(7rt(}SmBO?y(b_hobdmc{i_7qAUc1}tPM}$HiwvGx4F%PkEaI>~^wX$`xu(CBW zHQl*u*MI)i&%(GiGr2x8tjx`-azlNC^Avqxz(g0}1}5Ua!jg;GGbmQ9bhbckK9QNw zlN5Qpxpu6%!Di=sabcnR<6~+Xw!GY4Em?L@OmV zJq_Ir-s4bN+lXPUvZi27)ty}G$x9h{PYAb^rpDkhDnbnGH?j4!vqyN?IjC63;6lI( zju`Ss0X-xbv3F7+?07IF5dp-_#-8DZwXLz4>CX3e{p~-WgB!+F-vDkL>h5}Uc^(Zi z)HgmtzA-tx*j|6SJZ~a1t~W_HSyeJrSB2?jy~-^iz_%b$+mN0-T3UFyyY>1=FAP|a zY+xw{W@C9>6n7T5(c&&c?R)g`Da17>Y#@bG6(ONzH>n@y&Gf}@_1wRJkYI?JhWMEZ zrBP&*c_DWwJ>|Gh`230v$Th*PHrB%ARSeT~k!s1kp zu})^0D%-L!&*nt^U}4sY)`rV)h(aHB@zT@PYhT>E`;SKtFrQM7dDwprZb#CWYd65=&N#Ay-?p-zZX z$0K4X;)Do~jSh>B4AyJCLxNmAROY5eTf~j2?#_poE~2)Hn*xfKm^NDOXgFP#H&#C zmwVce*H>d$Qmt^^_Szrff_(Rd`X(!EvOOHDH9noGk>e#fr`sAxzk&Ibh53&$h5Z4W zhrMx~=IEb?8{dwnNDPI!dr=RCgkEg>JUlLlo@j`kgy}-V^x>qvgcK7)DbWbbr9@zy zo`s<$szG~{HAz`%g1V&$DyzyWpHwd;?Utp7wY3LNGwdBa?HxTRoK!pELV#duOG+vn=;;at0#|QWzXlUSO5OO?SFiD@4>aJb@1)k3^(L`*sb#v ziJ{WJSU%F0xYE*lik8&lIT(+9l|fpje7JhKDsq zRIEKv&9Jj0Zo)ys!O4S#h!p@016HUUUDt)XqpK_!k`Q!A!7zlNgNKuwoujLby_1#g zH^L2_zu$OU%LlV(zr>o?`PoM&r>_t9F16L2uPmJ2pV$)}+7hoh+}>22o@~DDZ4H}J zsYs0N%F8<4TnCnnSy%?h97AP66Q`!!a?)$jH-a4i1#D0hW3J| z{FtF;W4^%@eKdkZ`?F9WrO%y&e-QKBIW%^Y2?9ZBah|a3bOc$)QeWq6Yr{lkNn?6S zwl)ktfklbYSph2GMwQy9Gbv)cDC8Ckq#mRuv zg9r<$1Toh(d~Kv3!qF?(EZ@;QeXtyTy1WRj)sAh23DNt5JoCJrYBfIH$q|Q&_RTa^ zUmomPJvwz4V+(MFy1M-N&DHyhmun++&&7>z!Bap|#8DK1p}^V;JrtB6h@NOeKu^#* z36IcVV4h~?!A)W!L}g}_IwndTD@C*>mPK5&W1H^=J6CurT_HabF zh~ett=<4Bwa6_ml6co;4I4Rr>;pXn-=I)3vWFSgMR|-S=;eukRtF5DxwVkc0h3T$6 zyZ-jZR&Yb(<2BMyhwWDwC_pd%A?lf<{i_`fv#5(^#C1djwZ&pB!W@Yq{(%JO(dm=q2laxd z{Ft+g=fVhzV-JNvmE#YwV+-4X&&`lA_3T-0Q;dGfXRwJ|p%E3-)3ABx@xrD17iS^p zIx{>t)KGUYFUNfQJDCyTdFp^1Za53#Ndg2eFb90 zx`^*{4+76O3=G8-TTw8IvRlEdy-2i4;x1t(U7#nj0T5^}nG{n{X|n8MjS9=4$g*?b z9a0Y~xNX>a+1Y#9J9ybUqLkt3fZ)W$*~39Xj5Tn6;+RexK{qG)ZFw{uybvL!lXWPjq! z!IJr|=9`lvSlxwT$&WA3Vb#~2*|Rk|^>g!$Z(83FIw__`p5$AOQ8k*BCz8Syp{6Eo zaIr9SPiSO}LJ)6@(r%e(li-5sC^kMuu#QP&LJT4zAvPir5r@zx##1CA67)%l`eYtS z`eYu-`VJivh(+mQ-=h_;l zs>)jTXF&QD>+OLJAP3?jvwd941C<>yA!GXzPF5Aox7A-A?74%vr_(1Wm7SZtF>~@D z_QP&g7nRpHz7bDZ+eQi1>w~r0K(tYKlQdix0&$l*QcW%v>*$FHM2C`y?56eZ*B!Q!d1$?@&i{!265p(m>B+W zxc7Ef^HNRe>HN%zJ@ z3kJpLr~Li;%0E`&OMKK(zn{b7K=J5RKn!n`VdT^eG-k9M_1`}=s9n5~W!l=Ue*2eLQlE#db{77xIx60MbxFjwz zUFDePXQFY0 zihXA$Z1BVqvMxjSM5HIO+Qml1AgmC=!P#91l2}9%F=5;!DL#TCAtD)(NRg7nA~_;O zjMS6}7W&i_eQK%@X{mY^y0lbXsw`4cbt$P@iWEj$z+5Oa$;m<_B{R;Fq)to-kBz3*(SE@_qi?fcW$8>3YD|}t* zqr*=0b;Sh-xSJX!`uh|_XuwkrRhPp&0g^x1nBT-21zJpxYB`xZ@!|%inK0MJM^p$m zHj{oyC)*3$_%Z$kq>oQ_AecZ>6ZV*}^N}x7L6?nBx80kjO-!(`hQlPbFD>+ToNZ~C ztSD*DOvR}c<>l^TW>lOInW?hR^RTZ7Qq}1KyRce1H}i0L(V3=N+^rS{x|c=Rxd8btv~QG=(@bi76%rioOO*#+|&7P=<}dcwGgft46knu2tb zbw9O<3G(oAbVd*{S(9V1G{Z%K>_Qgqo-Xd5u2QHx#WX|8H`ZnyhTMZ?9X$6iq#sHr zrP4`(P&l}{**iPiINF(igM1^@&84p}_j+IFgW;aLJ#AP?f3du1{y^Sbe&(r+*nXXV zeW0QxMt!QkD?T{D*U2F}F|Mw#04e4Cp~-s}XE92TmGqx74G)<(K|Ho}3D(4Xc?z6q zN&1EP6ej(8!Bc*W;P4H8XU0>gt8xmPAKBVR@D%thVBIGSim{&&>**KgX`l%jjBCqZ zUSCE_<hk-{AcGNhkk5qQ8SI%~)3#rH`BR8>IfC zbKt|H*oHvs+b|W&XJgrH!X$RL!J-xxbH{5ddvdc5#6(7VD1jTfQR-+X^EkV`84BxM zAD1$zzu)~xpzVVZs87}9GX3m zK*NrSxLC853L1PCm4OCHyCsPxM4JW$1v_TS3MV&COd^vLBU2DbERrKr5h)aDJW?am zgosE>Wsw$xu( zH^r(?4Rpr_`+HcJVk>=BQtVK1!CZUO>Tn-)U)Z0*E#Sz?3D9u}W?z#io(ffJ84M(#m>)k2N7MUInd4Zl4x{!v1sE&-JzMRa_lKkn) z1K8t}uTpGU7yYI@MQWo+j$XnVM@^tciv_Hq+6Xlbzenp~$0xY(#YSmBQpD~F>ziG^8}cRVm9cR9bj5H=Klg<)?=Fu|^!j1vH;>ZTTyV8i^}ZXe&JweNcbV zj=$ND8C8L(OcaQ0qm7gZ;h`KL6p8|3U$J&pfL&gyO{4Dw@*a6CQU*IV?b3R+S z^2y2~T5=E&UOqGd-EwUqc#7J=XlG8OI?~=W!g5!lgK37+F5BO|I6SZ_O4FDa)1IEx zo1HOSnA2B~ouhDmE^cgvr_iuGSCzv)l#vwDdNGos#Qcc4DS38@rhKA+oT42RZn!$z0XMKz+Gy7nal^?0mar>By;mp4qJ6!b%uFrb-)ZsQ zyM>XOW0gg{Nf8~f>Y@Csv*SZCf&SiB7T7M`kdZQ4Rt#|x$bq%)r$$FfLd z8mM}qK#E}m4AevVg?wY}RID^L$#M*t4D6?QHT`s1)w+CxG7YJj!kH--{;^3VmTXW( zlq7=CZycGx$+J4zf1#uKOmqE-`h)!ix#e+@v0f^>y*smXVQO0=wb}LB%jbDOoNK-y?2Fj$UL}&MO-CQ*pgWFgX0q9{@gk)9SMMr3-L80nGe6cHOj zpPnv6TDpO-aOOg^C5+5g5_WPZ${oc=f??`dZ*}l$GBZI+8S1)UeJiWRhBe}0A_heHI za09w87ASGR&54HS!C`TRaAhF%Q_z_u!h#*;)SE+aJ)v}t@dJJazQBzi*I!^AZU`SD z`=C+ZfL``qri9|_c>P@EPZM}hf!xEx-IeDXhLppQZ&=uv z?lIo==385-Z-k(}k(DvmSaYMJ=|)H6)z&(!mhRY}vF*>V*_-VB>np#E@>Cq_>1--4 zc>R@Mdbv1O<>ibtH=Z3CUOjW_6U?Mwy*SLiAo{wx461@fDp;ZNF^wTy!paP+P=Wf3 z_Glom?GY>Ku}I|wRrzUVAV=AJ$}tjsVK{}hsIY~Pvu7|zj}{ z7b=S~{XF-){@NSAdF9RDzLKU5OVx%Ozx_tEw`YEY7ITX*u17y*vA2WvATR+BAC899 ze06MaWw>v3e2D2c#-aA%Dh_n>sVIu{RS7GXxSrWF7EcxYX0WMB5O$FSIpHR+VBELV0{lqQAG@p7%>L zQfl_6PjP{VF_pt)18cG#icr=NL1Qz-fa;rp1|bGlbw;NqN2eiD zgh)?~79uS=okd1^bVhpACXo@9kr642h>Q%1bP7F%oUj-;iE7f zA+fQ(8jYK;?^bz=t5a`&_7Xs&vw5+hdZGHjm8!yplI$~i8B_WDD&iyG`pqkU{?#vj z|BL_q?w|h@Go}}{q{Fld|Vh8U6~XY>+2Qh>=^E@(3;hG7UGPg@QHX%Qp6q#Z=le~7zW3ZG}JEP+=K}=xoA_EJ|iV3?~n?a1`!ikvY}8( zX$Cv+^iiw{$~Tleyu6iOUI>K{Tgf`y*RmA|IVj(-cNX)Fx3|JmLS0>Y3vyR_+dmlS zy4u#TP+NYvyx?L%=FGl?Q~4Rk8mb~Z-JDE~gWMI^2$>S8M?a;#vixv&$HI|AA6z*1 z2)eJ8rN7_2{*PPNzr1nvGx#_`)dTZbOd?=m{lYvvOEJL6)L-IOdTbScK~;WwRArOl z6u#<_hUheO+6|MEv8$OLxzonVTG+XlrgPDN2jf8*O_hDfzrXjF*TT@ti;u2~jjV~$H6+C%>BSse)-&>rZ=^HlJOxEjOr!7x zjc#p!=SZP7#z&zPdVX=`wQY68Kqo^KdQJ$b!a>7O(_j;1 zgc~H$G|ZaO&>2^N(=b|0T1rfMsw~oCGI*rNWKe*lu*itcG(=Qp#+um3Rv2g5jI9WS zg;5pOSV;v>NeNF%4vCHP)oR`R{46ZYx0G)v-MUK(7YDlUVbkkC=k>Pwg@YyM3!xW{ zn@rG7rpJxsX84#J|MAx^2RPWNm98m){zxXfa`(Z5dZDNN#z;R_#A3JOt%;%Alf$$b z7A+NM0w?GgB@Ub?XsDT4WzFEZeV2(Zo8Zpzo05VstjZ;RtTjklJjwfBCBs; zh@SkuSU&}Qd2~{6p5XK#_xsU-E4`f;I-1Tj)r}q~#C%FcTufR}fY#kL(82akul#b` z?|&0$XSpvdFh4x7C@i2v9aOFhtBZ?hOO5Z{pVpRW z5w3E_9R1R8KfwR?P!FmcOZDX!4`k2mPn?X`^hX4C$Lm_s6W{;yA9ud}W}G@KH#xDP zq-dV1apT%oH?JWD{RA7esHH;t$7u^WcGJ(Dhw|qs*?-Zj z3c4zy{TFip<3kNUs;lN_n`3<4e_Z4#GE?FieBvM}B>KW+3i@jpP9dS-6+C{jya1B& z=;T-bH#Guoyt9>jL+z;=uBp6r2x^?E4?tB0I#*k3FP7z=%uXCnPWd~TA2m4pV$8_gr9j~i`qb$(o0omFQf|5KvIsNG9)a{8O=y}A2 zk5oLr57Q@7p3|rT`Y9i>d;=wSnpNR7Qc66edlu6Uy?~J)>R;d)nFf7=QfU-f2NgwW zB|RB8A>RO9B}1pFF$}7FbaEP^FKj?SzHxJ;A1m8t8f!-m6hgdF7@@;F?C)OscRw48 zv;eORFV_qY#~g3h!XU3oZAfck)KGTXR8h`!S<$hoQY;(IcX#`a^C@d_gW9jmZG+(k zHXn(&fetLmy|}Be@>OGXd{`Y^2 z^YuEIlF(n6d#bT!p{E0Tmu}Gx`hKWGZvsPLumIf`daqE5vLU8h6C=DKON51;MZCm8 z=M~|GFsQPTt$f|B?T30z{Y-x6XF&&ho>LW?YvZ^9;U7MF3OXNXCl~5qWWIqS*!bY} zq29|qZAWS6FPZDWkl^Ia!~PKrV66(?GSvo=aH zkrZMmV)tY%L!pT?PEVvqKZS~gho6#%r=OCAyQiPK*Sgp|>k!iqDe<5hDeJHpsERD* zSYI7=C7uEahl_)&ofYy8vt4g*E#L6*8mh0k1%J_Vryd@eyfxByt)pR~y7*jS`q8w= zKAm4TGEi`ng*lujUtV7UVZo|Lwm;?Yba!W0bw$~}jQ8L8&ooU) zW}s)HlSPKBRe_gNb*OhwqJDgT%JBpF=j$r3bT;1{=w2P_neAvU^YeNxZhU=Rl*Uq6 zQ5510p(qN`msk{~0a$CB^>Qy^^i`~gIxtl^^RkfT8*E}-Vkmq(gcu5&I}?ZB2{Z)6 z0B%T#u?c8!43R;Hx39amk0HFg-GvUzTHGMELXDNpp+g1vsM1Bi4SOqxt>cE)*Jre; z;m)b!pTZ>J)Da9dU+ZdKs5x-9Abl!X-xcBCof0+OTzjOqt0r&1+4gN&>JT(jrVo~3 z&*QbhZtSDS3Jlynp!-@L>Op-Kos=gShD9Ch5EV#KCIv}>;0L2Cn2^N+FUZa%%{lT7 zWE#@_i)S5%N5)@%CO7dP(SK9=yfz$AvOx@#s3_ah$D3&Ap}>m#Fg4e}XIF-M;V*}C z1CG|WXkS|!hGDT;1N%esBQz#&|E(ZCa-Xjv&B>xrWmg-l>Wm5*&4@o)l5?rP@=ALX zGy}Is2X0S}UhL~C^Y_^lH@4n~m5;LtGEt`Zf>Kl%oM*Tp%%pI)VZ*#U+OK>Rg&4|4 z(10>i=$;6;u?93Sl@^zgMvsS2?bIaNXa zgT@M%euc)x`Dt}-e*PAg7F(%rV43fDYtvn<07f!!>gb2CVCrd|t0_5|pEi=H?TQYb zt}ecKc%m#Lb^9NF_ly7ae`kaRqi+sZx63`P*z5*BYV2u(-0RbsBcGf&{BRm3PUBb? zi{;cbTn`7ZLt`MeIAIuc90F+IjAGI+evYxUR%9#uJlx1x@cfVHviiyYsvF>fBR~5n zS!DxM6uq-MhbJU&K=2f_U*Svq@yR1kPaMKY0<$leZ`>NC`o`I2^iv92_N5m^X^r3h zTTw!ErjOe`7t2y_$5yq^aDsNGF!NGP>9vl=Td=|&9RLL3cUT@Dt_qR)1itqB;O%eZ zS?{iNG~MDHJ)cZLGbJiYw#gAZ1+(+|Fm0rU7RvL%c~X1{axd0K;hhxtf%8!mp^XAZ z3z4KSvkRe(B6wnm^n{e0d?d|Z>A}L&U+L-Z&cn;!eND*NinpJ;5J)!G1CHx~kl4 zvu$scB*tX>D|3~$H34pYQ9;ugvFA&3mKv*W_O{=j7{Vsvr!&VtIX8pl9kuF^?@|{v zjL%C7b72gY8TD9N!legWp_PWBsB9p`UebE;WJ5F$7t5iBHVP#hqAiBVQ26k>fExm0 z05?R$PU4{tw}0XH~+$e}~xEWFUM4mvy(>!Cx28!EyLM~)ljTdZ$D1v=i*hTS)x zL*Y4l`qA;JTZ5hRwWY{6h7z?sDbZ*8J4f4_Ew;UticU&G%;157bM1|*!@bBf$nR@> z@WJ#LG(0%QBbH)d?IS9s7_LXkare+Dilr!-@}em8#u!z=fF#Bwk;+P0hg2P1cOzRv zVb-0fFTVWO{RP&2O#DlUU1CSuL~I|$~tH)g;EYk1n*1^BOnpPUTN?Y zNC#n5K762{J|np}MsNPkTO|oGd4Z~Ym0d%yaxf<3NM`KmlI;10s_RfSPmMk~dE{ee z>v3o1M6D+5yW@sn@CAXWIL<~&QLK1oxIyk-Bojq5MU;th8$jx$uxS+5MuF;5?4$^7 z6oI5XgQ0NT;0%Qx_hpEY8Mp82Le5;)aTX#hVta-6R)nDygAB|tw<2q-kn}4wF5XY4 zQ=p%+HJ+jm48W4;`*X9%3gEJW62#r%?)kdXqj{-ANxF#xxpRlc+scYf-gzS}G^i*> z50Y}|U>USJC~m9{_hTjfT`cSy?!&CgrS5jjD51jw=)iD27z#YZZcpG;fpB!n@WYT{7@b{e?FL4LnI76N*=?8w)B5Cu$0eCgDzT z2oBZcym4b}2!m`({oUAvvI-yUk$#*lSoH`mm+^`NOvu{CdO`_JM;0? zEC0BC0}SQA?%t%y6b#X$nR0y@0l!%Yz`nY^{1s*p*z!lpQ5WYiObvh9k5OP3{fddI zoE9eQX$$1ZV=t`0{;5~}cYkv*l=UR##F}Obc~IkHg~J=z=5^ABfTBcsiB)I=Acnr!rzLRu!&v z)ZZTI#YpuhvuD0onEPUR5w_TMdhK&@<5~R_PEt@8CA%KBJetHCD2f^zVNZ(E!kjl# z#C$_8_u{e*c^ic%8$u6-$u?L;R7y5PdV<0N&osCwYb&6^q2rn8!Er-nD0fKEAy5@1 z*NzGbQh8&AS2;LtxPC*PLit8_Csu7@YbRED-a9&Zy}$izbU2sDohC$k&!787Q@gAvoZ!-L286@?ziA6Q$1Y|QRKY3^zlW)jT-|UsEbbQPsBdo z`Ki&-wq^(8J;06Rkid$hxUtg0^DXuBT`fp2fe&|~PRHt;!EO}BA>+9-hT-S|EXBZ5 z6$D09II$%cYjon6qcxwZ#!1D;RRA4icusv5ZrxzuEE`YJ?|9C?`0?-l7ufir;28-t zu!$b@1Ro`DxRcEn`_S>x;~YS717{07TR>xRoBccdt59=I{m`@!*P2-lIie0Ag6J=!a++ce*hOAk!< zb}yeget-VL)2mlNRld5tiuerlWOW6Z=jRwyfu85;G7Z$jcosADc+IsdpJVy>R=I4!U#l@)lz!SV|uPB@)b&&-@1A0B9^%Snp=%YXbT(nnRO58dzSP#x;gour#C z&YEkfxjxc&@5GVEz>TX*|G2&SU}>Q~Qoku~$osI*;wgf{96Uv6rl2lLt5Prqi@j`A z6oqm_D2gK4uow0=!re=#i1MPSC@g1^asxvVk_~EilGaP$DWZX}=+?CcE;w|^5o6u) z1^`(D9cx>(U@Pksq#|_4?F8v}lW@bq8hu#wQ?`T~5kY~IJzc;JT;nJ^z+GplyX9ng z?r=tId!lZ%x+F^*`o`~nqxVwf=+$s{?8@JNxVmhirw!%M2UD=<8K!}_@xfb|j2`Jn zZ~gw{$YbQ9(_)CMq|Iglge^r%d+oM0f@qKT+ zcfa4heY^Ws-_G3?tXyWvIiEnz>2M-&4mn7coRK6s=Okbj6;T8fL@l#c>Z-2l>c01H zcyoO|`)m$D#pG}lj5mUbP=hUIm( zDl*W?&fCGJAX=5->sAop(Vz<($Vxh1Rdl(lXjAG0iPbGS>yusvV+~qGOHHk%XOzOIbUH``oe=A~{ zEinrpvaDl*k!ZyTRhS_d${yp&l|%@2fm1^GJZ0Owuc*L)(T=uz@Yq=dPC0XTa%7>s z;aFj2Z<3}_8{AWn)lybuzjtSZ)IBjY=#Wm;ke)PNUIelzG6wn^wEtqL2gmzk{b+IA zg1`-;Hv0Mi5(~y{TrrK=3IGdpEv6O+y6d>3XtM?y@63^7^I*mFo)ktZrp(e;YESO&YDPt67J%P@Fr`+URa&9vvQ%o0w2vSTNq+vUqF?dFJuL z{2!N>zWw;dH#b*60Q>gF$~WMEU4`g?K@~zPS7@~g{)iK(rxz|`$KR)r)x!Y{aus+$ zf!RKDiu{U=iy*lV7#pmx7X82O(Rj++_6Pn~TYwZ?CL>N^AVmFa6q=xsIA!jO zD{v&f#P0{Zq%cy!?SV1eC-ZZl4?#+Qth)m^r6MaMJvQ3ae1EDkyjUHU7vNDD8PJic zA1%o{(_FuV?mD>5mu5e^wsiZ_#j3vnr--C4K1?B!Ijv@6O;HPTldT5~q)<~-6wa@4 z%QtW~sMru@C`PWAagt&>MiDKAx1Tgj^NEl^jCsR!)UqLOh-k%x1}5YU{5%{z;b(@k zkc)%s^W=@UkT*u!Tah=uzP5<|#vRO4x|&XvWOv1@YoY@Bi*v_2S};$El)9ybW1bSz zlb?-k7xNuWOITMSI`954 zMH!y23eNI#D+~8+O^O~W%sP&Se1F%Q4e@uPR@7~|wd4mmM;YG|yU)0># z>%kablsDGg%Q@rAxcF7@17vpP0^Q5RWW$&@OxhajX0Kw>fJbO-TJm#5YBKPPDTLV! zSonlRfGSLG&vh$YUoS}P391m$okJCnOwiX2i3aaW;pXFKZeg*FzUW)PDaadlFJDAe zfHL6b#Nd^-h7+halC_7SCn^#0M!3W^F(jZc8ctuSW93EXo9l78LG}Z26ma$NfALzHGAuL1JKfW%Fj(GTQ1#}fPuEq>_OxG{ z8oy1(wsSYm&s08Po?_Y;eF{zySI_gUj^Xh5V)FUOb@KU5vJ|7W*E-3(=;+0k96f>P zMa~%9gdA?<@cJ{UH#`HSTZCzuvEiJmjwhiNre0x04${o74OYm~ht(T6iuDFIBf#>D z>J108y=EV6voDIg(b0DI(nXlW!b2D@{rR@~lcm`MDY^z#FwYwjD|2mVaAusoCO>ba zwfXYo_$_d^7U%zb^ZM5}R{jX5uN%t{ssN|_`R2->uV16Jk3cBbXu|RpDeJLd+_R+r9pwJt$12M>q23#&6{D*iU!m!P1h-3L@}xMRbkxG=@&DJpD^hIU@q zlj7{oti6QYDBMAm^zue?9>LCQZHyvpV>D@pqU2zP z#yVOg*5(ls*Z3g6e613WJyX?X=Z_p-f@FTE=i}ktrS8^+?$(vQ4vbU)RURE3dVF*U zCup3)zy~~^``GIT%JJ9$oM}<7BG4m6y|FqBx5)vZ6(R_cNY+@~8bONbtBu2!&0nFf zziNa0vpxaS&z}_=Ys?KUpJycp>PBjMqxFp*I0B0g7|FbQxu5tOxNUG_JenNFJY}V~ zW1+KUwxt1fwH?_9E8}BRLIdTN2ZG$3GowOcoXwLxYz_rTYINbPY4K2DpKfWm4CTU! zsXG_WuADhlp$OknM{inhJZTOKoFZe}=h@B_N*x|-6|B_4Txw+{wYDJxa~tEvdCD6` z!woEO6gR!D<&8DTJPd~kq_FX;ar?9ImNx+@WEQGVOWsaw#4_sv3r8ygB`j6Y-2eWF545y&p zh=7xMuz!J0*_xF$Qd$TDYLu5um(+O^6WIRF>wR4i)WeJ8;l$9F$0t6Y9>Y|H&r@&& zwuN&bfn2tBheomEs9k9#6VBPpj<}nckv2X>3L-;@4lLj(^T4V0uwDxI8O(k{H`tkaet~W)6h0$#Ik%ODCqwBSN2YZLzzzaOh!)l} zT?6e0WZPBNrYc;c{m*)({&OC{@4^2fq80jG_&kM)=n1EgGUXKE6s%3Tcn-*v_UQnk z!o?Z^P9Wq<7{)PF`{|Xr>t{}$9_a0J7&D+N#(vf)r=kZXnhhro{#-4c=Se2!%V72T47*jHl-i z)9kTj>ew=G2&u!=wU`k{*Ybun`Ww%YH;BK%>J32A+mj<#+8ZVd1e`KZnmfU8N{E{i z_Hw3$hg2uV4Hg}mX{dpw08LSdpmD@7<-zy>(Y;V(40fXq0qF}b6s&SXMG9Fx0>uXL zf5u2m4Xw&u_8MZoF0`DpU=8U3SMwjU{C^~6VrF!_uw&niGBssPhM<_ zGKRUp#$lBPHAT_-1}4Sj#zn_W4VJU;bi!Q$3J1^%Gp8lS;9N`NXlX%ha(s>|QtKtf zUg`9xFqMOu#%gDRvw4Qxu`tZHHb&K%nLJpUfBZ<@rT!k299JerOGAUV@qm1|OXmy+iVLt65d z@pSMaz?Svgu(jEW(IQNMDn?~J1}jXiVstGwLKWuv6_0s}FK~*VpSk5W<|z!PP~IS+ z&;s5L$Q$!*4O4}gU5RSol>XwJ@%Cn!jb*5tbBv#NMr2q`Vq9NA&dIu}h0bP-RIYTk zfVYFe$}KFW@27hJx&!15NK~MvhqR3ufAwP&3pG9OY|t$Pt{}Lh1=s#FT3FAP&66~PKsSd=DGsZ&PjR0^Fs zLWf}rm!&{H&$RO*NQvYA!|QgVDB{;S5A$&+j^ZL$j!-a3;d_*}EH*ZOadY{xx#ZZO zTS3VIt%`tCXr!_gRDryXZB(JYsH3aH^VA!W;F+^IEV#S(XHMOo9A4}=a*X;L>e{G) z&Ya|d`fAL@BK*7))XKv2)Xu|I$9uc4o;>yl=;P`FhA99hKr3IZT>T?Z3BIzth(#)2 zEid65tR9j}Ut7fT@lW9I0h{7W7qFN7@$9)Tuw4ZU%FmxgK}!aba$lHbDOrwfiGGQp@D|likz(2h!DG7A7-$;p|SiZ-swP^)Go(gR*Du!QtZH?tjU^+nf9j3gT3eb zdyC)`_+4=dcNT>mF?KtmDGHn-Ij~P=VJ5e>lH1tGZ0)4Bz$qd~5o9SIRBu3&BFIwK z6&nIV5t^dLVuQ#VChbs6QiSzM*rOCI^Q4dp5hU{zVk`V&nmsn>jSckCr{#_HQxzy^ zzDwTl_Zw_!zI|~PkM{j@r*4c7U23gAT6hp5`icmjs_2Ne;=Dj7+u#4NVKbj$vB@rcWtO+s)ywrHxQ+&JeKW_Zui}W=8K$4qyMNI1rPA~r$ zP?TRvawHaT3Px$@io-1*lQoFw!Ri6&i&4#cae_%4+U&jgIiLoW>$TWNZ@2UPOl6qH z!Ax!Wq27L9in~pwpQI=v@UULfk&!xFT6hXjrLXHke|PcUmN$s>CFTvN+cxJ7+x2+^ zNrP{4TnAE25sJ{}#>B92tHwk$TX zzc@|_eNLPbNqM8`Ti%rr5~(O^f!P|0An$Cji`38X-_pn8A%ljKl;3l*$Hf9NyOvL;42lAZ0XuqcT`BUCtPz5+e=x;E} zC@v-WtDwJum0LK%7Lo<>26$hXxRGHCiQ4)=8U$r@uC;NrB)>j2AzvG%N8Z@=ex@o+ zZNFb_@qylMZ>E<^nJT1A8CD&mYDrHVEXq5HsY-YIxt@-q0KaF}8=G;;W;^0=+CEP> zB}x^h)F=q2M5`6i>WFAH)6O%GFKFihQV6F2p$JP-#4!q3m+K}e2?}wRlZZb!Tr8&oI88( z;`v)Ak1Y=M%p9p6FF4qqpsI}UE)4VOEiY`XEHi)aZ9|kIHz^Um#K(F%mrfpg46VWS ztKfPONWti2mC(x9Fq>sxfjz=k%Zudw1v(j&DNs)@UWTClPY|l0uReDk9>t_wz-A35 zs5hOb5PgV9L`LtgS0Lqutf@?)%3Z=KjQT|)`eV47rl!Qeq-3E$Gqp>Yq+sF?N4T#+ z7X-Gwy6|ZJB6wdH$43Sl>#Oo;AH6NBH!y@%nZ0MQ-&Yjr+g*^|ke1Mpn$VGzHdvT@ ztd^k4Y3xA=@Oft5*xVQ8!xTBl8z?rwEjQYVg7mc>r`UV&dV?{(JnWqbq?pzlBG-$_ zQr0FZLOXO_Qxx@vC(*r(Acd`Ne0tu%-)>GFV&1@sY3g`N-e8nb>Td{n!%Z$1iK7^) ztjinQ6PD*2}q9oKaKSbVBQP@^fap3K@ z)ZVg`uplsVaN*9@RY9Nf@gNl&C^=Ag&`OJeZkR@1$2rrdpht?{*Ns6E+OH1wUPZrj zkTy*}m>hXDH3lLOFv|^E{5OJ*=)>s=98q1sppsr^Orj#b*EXNLXs_TO)XyVcjy0UZ zWUweXs3}T)QHD_9=LSX}XY7Fb1&K69X=J?63!cyFU>EVsv0A#bd8Vm$qN1cZBQ;O0 zP)OZuKB9UTaCJbaLZ#>Ii^jUd> zDN~rJ?OAyPNrN%Ij35PV0YNptHhz^bC&0By%6gEpF>h=HDe1{^JdF5d17_JUXAuW0 zqG$zBg^TMUR1s7vCaYCwq+%qxi?tBSB=EijoZ`FPc}kd%cW*=8_0uQsoj-H)*wMv- zt~2$O16j%SF%gBqvaCQ!S6M-8b@_p}-&A@^kvFOm^aF*tr)n!NwKlAfIHe1D1MD3H zPB4>2AY*X78}15nT7YVP9M*8Xn50l&o%U#qFlpN$s#)IIfDDRq1xcdF-WEsk=G=Vp zgL+6`z+cw%ikQw}KZaZp$`o#l!226U(ig-jfGWT#=z0Oe@`>B(KsVkp;C*49GF4U9 zotKGKk2+6@&4=%%M}?^D_bbfaPxW#ctSTLBs8RWP20PjfR+q#6R6IsgImicuc#RQ>j9;Wa~3gMKL zq!5|s=Ga_>Y^XZKl4HwyLzKt*7GJ9W6cKQpmDI=moAlHu>sQI?OqVfOBh*vRnQq=c4=vJ;r69G`+f;EUxY zj8PB}s(b^j%JtCSW2|5iS;+r;yP!^ZSBbE#@hoTo9Jg!}1GQ6*mJI zh9sf~hGn-0hHeipUtBslJ>A{eT3&WAMz?>*8!6#IS{LgmtKEglkjeI@!bF4ZhwmMX zRu5H`qb)yKS#qJR@od`@^TyM8<|65f;gl`w4O`4pB(@uH${I*vTMssCQdm>e6r>n^ zy*Nk#W78C;V4>3Hq`|-P^wja4@`j1YIpGu<@nFzH>bCEYH{RJ1=H=N{Q@JoXzC1lK z*WV5P|3q0qdy2kV9h@KNanRSTz2s1HRoQ_ZZ$!!5v8=5kE~f8LHtLPbt&J!)fK!-i zz8iuR1iped2p_4yp#|F>?@!=GLmF!AM7Rg30&9w*>foEa08&`jopnT6l?$#iUS}KH zp{8GX0pGtrv>!>VH;hfuG2RsA#Rj_Ex0n)^lQ)1&3967HsUN|m^nkS3wga5PZks-+ zVo`E{Pl#2I27j;r{D1%NFgJ(90C|#+TW4whcw4hR*bjN5G%0?dB!4hJ`*?NP#rCF| zC)XR#mp69P8hZDAdn5<;%Ph><99GC1?xy{X4b2Uwt@DP-Bt`lpkOI;dhAGG!@#)E% zV*^wHmU$9dc{)y6gDR%fudQ)PJjW^Sz$x3((eDV6OWP_+FOLmfn;4nv={VJRc&s?@ zNP@N`!Vmo3RBzX|;@qan(tU5euJ)9sM}%Syi~hzr=u^5{V6}n$SzMoTa{x|XbdJ;k zkb+jZ&@;!{apV(PwbKW_DBuZC9q4RetpTgisx+8CpNg(BlpIbp zWGf^5Y%Tqq?Q^4}>T}Ykdb?`#vaQ~JJxu1&R+!h5b8w^}=TvRQTu1W^`l3(3DW-Yj zsW@eA2R)p=AWn%=hby%-Pr)!{4X3b$DG{-Jm;#()T;{l@osVC`Dcb-kG*4kmV4qZP z;Ooy@a)^D=EpdvMxOLiCY?#&?V4)E2i{X@=+tbkpNj#d13uXs;7e@vzcD9_Xs~kL( z(V$lrgnFgP9a21<+lq3UDoPK$yCYtyC`wE1tf@LZ&<7XU2lI1ZGLV9)3MMJgr~DZ@ zdMtT_rv5rwvqrx!5u}J?loxV{@B_&V z+x#^-PN8pRye|Tn1XAGlbpk{_uA`^@DRbwsKnFO5#3>7xu~-M&9tp;>`vi2shgU8^ z@ia3$0QFPNq3lq(hp&rcU4G6)S9?oUna6?M@BaFiv4Os=xtVRL2_uC$r|PQa;o8yD zQH(k4ckPRk7?$QK9H;DZ2baU_fZWnTZfz~MMZICqaf*jgLBDaBBGwzss7D;8Y^UDf zt}2V;#2IK}htls5uB+#Bwz&@T6wFXy(Q|i% z_#1ae`k*@?76;S^s4;*;dhawwE^~rg?+65pd)a*tO<{ z5vL@iCnGjP6@2CCXoU|}MD~|xTrAF0uw%s(rx^D?CV}YAM=I;*DbI~l0^D5cbFz*% zH=b;6I9gNQpP$u`5M31EpXuXjaIr{`IRK|LSCsB~>kqMh-iI_%tr@A46(tv28m{%U z0g`~_#ghh39$}Ls-3e%t(-?)sDY#p}@F4;ijp3kA0pp9w=P7|uXM;crt=k}HIf5i> z4uPgOC(d7ilz((K*#5t7dT9il!t;i4m_qLYOki2?ynz=7&P6h^K-M~V&DGxPk;5(e-CuDZOYATOg408q)e0- zoo%X{@9VnQ*Ii<|kAB;G9*w*&5~uKaia`1Txm^>f(kWHZD)7D{qcy@jh2s=qn4&js zoR3>0eI=~n6h`+F7+=aw$`sRKiZ&%FR8IAV7@G@^4QR!*F-oq6~%1id`c;jHK zuD%dWs+LQW;~!%hvM>*v^6ky*fBpEzpKq-~O;1ym8!KF>!dvC!_r*+!0a74Oz`j4I zsvn($BQcp0b7jf~Q)1&7<<%tRCFta=$0@}7y2qdj>F9w|gn0`268~}jB8;iA6A<$h z09d*)*tQ+;zCK&L^5D|N<&($G4)*pFPRY$qFgWbnouJc%`N)3%vmYOL^YG`ThQl_w~W8EZ$RUiUv z+5X$`)p!1*KY=IJ8)%9OBb5oZ$5YVJ!#sg5I`k>Dl76Uf6*h5@FA<*YM_mdl2CU;b zSzFm(nA?z&h?+Jo(9isxx1|nt@Bi|(4}Se$@gaT{ak^4%WL>0reas(c5Wf?9|} zmG8p)dS{2Xy!RSjnNT%#@l~WnsAQK`$qRv$@WGe^pYEKaCKu46%8(=4)iw| zS|PxKn*`g0vFCB7@i0J2PhMtyNJ~_5@bgV3FYyXYso2Ntsz?V2O3gVP-l~$pO22N2w6{nCmB@$tr zrzql0aEggCh2azu(UW|h84-&(1t0|xB1g%A?&!MMTy>bDmA|##AaTl?J{Q#yY9te! zvfX;a%igBQ5Yuxgr$0ZtGd-a;T9F^@l`651wcoF?-B+Eg@2Wp+xBH{`s7Pk^br}A! zA7d{Db~r+Qf|fY?XKXx+ z@^p3SrS8^cw98H&TRA>i0l%-mOT7Uxtl2)9rMah#)#iG`!_G;-Dcn}n$z6gFyoiZv zZXBjaWG3~7+*K+*p{y?|<6b>9Oflk=5E-anlr;EBh?JjfoUlAs-hi$RqBc6N@kLGg z8&Aj^Zp?qfeD8MihNrDnkv@8$ym+jlxa%NjqLBqb@)UPlq4 zCeR9$lIVysmmZE#Oy6Ss+&D~m36TExAOmdwS1`R&VqX-)lv}7Yu;BuvFMh-&rUGN= zE~_?Ca6(WImBBI^p`e%dbpWBj`sq?@BgEx_(2^ziY>9%PaS`fzo;qC{7L#0aSltvlzqFUX8SRO^|G;k z-n=1wj=VvsLma}Qzab(N@noA&#T2B7;uN5jr_NJo^MY}nl4y!kP&&@KVEX^8~`%zx8qX}XV2Z> ze&$=%8>V^VnY=H66gUzqqC%AF2(>Os9j#Kutl^Z17;Qw1E+RJCC{DpV#Xum%h*Jop zY=Ki~q(V4_MkJ77P_C-ByEQ|HpvFb7?hN3*2)4~IbwGo*CvUGn*XIcJG zM@yia(~tk3|8*!O5i*vO1HH?qkE6Ey{OZD=Z>(UL^5+{gL-`YwDFj%q)3!$mPVHsBOa{bD+LvL=QE z34UK-`Vr}iRz1Q_fgFip0f)iL7fbW^X3s4hn>;(vJJ3+qSX@||k*<#n|J8r|D8R`! zEg~>p?vw^|(O}QI7)4K3@>EsHrS7(6)TlG3;AZ^sg_$a4 zWoPGUXD_p}mlE~M!2`pT^*DtJ64OSn808f8~bdhemkLmGv*`5v!*9~MSORT#7* z%?*XAiNE>xe{(h4mmV34UEx^r2tw&%N7Hg|2VXr88SI1cK?Lmx#)f*b?ipld2KICH z-^MyWwp#iYxE!c4P`8Bd1mZ4TSHT@YRa@Q7bW6vY@!#=-H;09eA!zp{D=ExhC zUbZ&>%)BAO6P#>DC~NF5<2*&wsyq{?h@>xa{Sp>FZU?9MZX2gq7V4B82a|g%}7TTMx_jV9d6r?ZOL{HvL zSVm7f=!bhDkp`0o3qbKlVFC-LC826NNZWj%RWwaIb$ z8f8*wP^5>e%YnT)I;CD>AIFxbR4D_y)8nSg3+CFJRwsrZ%$!0I`_=Vj?4z$zJv(na z1E(kgIZlaEhN?7?>S(1VMol;+Mx%(<5cSIxr_kCIy#P|S!YNT0rU>(t1OcQZ0;CX5 zF$F1WI7KKksMrWz7i)zFAPI$$bB=k62v3MxZj22$#pw4%0y<9m5^##iFh%Z0J|TRb zLVZ!I=cqTlZLN!=)ollp+tT8?b5i>%iu|niOU(8(m6uHR_lA4QZ1?O;jn-D?W)B`| zm>C{ifimUNEH=^8R>vDF6j0DJeacrWOMoXLtA}lPBykFG3hancslw(9Y6U1$*cy7a zGX-)6rkY=K_j*-bylkHUK^5aX1y_^yr@-%v=~FOIVf$0a?+fZAcq!n1faMo=fqO7_ z0lm%H(IF^aTgpoSQVzyOzy4pZ`Po_IX(E!O_6Ma7B_Zx6 z&NS4(ho>VaqtpTUq@dd2!<4P&De)%r6yOx|ZS14p;cIVO9v3roC~Gh? z>4-tynikiPk!=6Y>oOY)>-XRO)lYvCOQSgdI2qR~(8YW8NU2Ku8@Cz$uWk0jFTY^ir<4@O=T!t^@)EG9Bqo?}Kt<`VteEjg* z(v$MW_U9=IhEt$YA)KO()Wj$?v1)a!MhTn}!*PmkZJxr`I~s>6#QQQ1Qv}}E7C41K z3d1Sup$ea;1WTk(s5GeL2wDr{25JeNh+FK%dSgSeVI*%5NFki^52!Z+oE)l?;>Jtz z#`DrU;x%>Ju)_vTVxZ67-~Z~hAN}tDi8~C>^@@m`gt)``dHoIbXNLwLd47b(6t;>3 zm0TwyVhmQkS;hI)FP9euWeS(iW1}BPU#L#!FMdkHl(R5^`V<~eSgrxa7YuBTj4z`s zIjs{0;RG*Bn^o?z!?4#IfYbCNn?v4tdKO;=M4f)A{)&Fr?|U0J|9jAaCWRo zNf<3XG=BRt#yRj^B|Dn1r1tTXl1*Lqq} zX27TiM-VyC9VJhDR&qRm^RRJE2JCuZ?SGWVYO_9n=kx(;QP z$HfLa*+9g{p$MFzux`$e;z&f zWcB)Co#r2tHyq^l4zdk-gOY};#OZI!8{#MyLs&#yY9d8i(x!N#Amu5O6p{3WVTv$M zF@hArDU9pRMD#={G3w~CzCpw(k?y`e78cvWDM7Bz4H>EEX&)`l=}nJoiHWEV_bpQd z<|=}ncfR+#*M8>fU<;_C@{|IqluIvxz)o1~;lh%~UNkpwhu{e67aC>fkJJtoAF50+1UcCUxH;u%B9o*J zX>L{pzRnF%em#krapa97HE`O$b8;G+6u=bv_U_03jl6MVwRRhMRB*JTbz$o0T@;@r zh`##G4GvP^@r6ms)i1&4L0nz<^6C{-qyQ-xrofAsK+5b4hAAKdk-{3v6tvtKPT}*E zS2*P*%{C(M3llNAl(atu%Toxa;98T}*Tr)H7a)Cc9X*?}&BFzbi{D@YG?<7?e8vg%C0Z@`kU+y5PkO&l|?(22}h=8u(%Olm|Z{|4|{X z@c{CA-ngtWJU%b)G7Ducr(o1B_YVa zK&xuYOha)p*V3@k(|!w{qQkw!_<~Chc;>9~#8d@MQEt~mTrZ|cxdmauNIzac52wc; zOw$T!kiLkGyvE-UR!CDvl&?@>O;NnsUeX8Z`}$*>78^LHdV_aF+1137M~qZZPx57L zq$%LR_O*fWMQp%P@)v~{F~)E!Jst35I9Xc-o_U!e+6fz_HHw@t-*kyhiJx1WHfS_0 z=0tJUBIS*N`=^hCaSG@Bzuv$5-;WAq^C$0gU?X57^7fwigA)+1StY(L@fw%sY5HW zO2}V`u}#q0jf^vdM(cbdBi((ri&H|R9<7D>b3N@#oy`|(%Z?pN?~0464)Z$X?TqPp zU3y~I;Y$0xyFy%@;EIs1Rbn{~442L~)?y7ka0=L8n4}QbtFHrg99*ITjT@8C50D@Q zsAQDH)aa|TE(h8gYaU-DOJOd=;@!AzlJY8Pym+%D@k&wB5J_K5(FR=`OBzDnK#K!& zSe`d9S%V)7#wak{pv|!8atwAu2)WeVI^WTBw()RZVQzJz-eK2=abZCP>abLqL!Ort z`WwCR%E`R6i%r$5!#(#-O?@^$2b}WP`*;3l+j--;aEdlYsg2cW^jcM{HY!F7oDvzM zi;RtqjE#xZ$C~04MSPqvOd)X!MHGc8?@OS5@p%g26gE=f8y*Fm;_eaTj(UTRfd~(T z6cHfC8a6SlG=!4F&qGF)hD2r>J{~e2-X5IXF7y&uv4QFcB@?SRoE@y} z%=aGH`PPo@%wfaivX0WC`TlN56fYmCIaii9macDB2bBeSWXc_zvQh?{8y)uVjtcNk zkBtHQtG~YP{OHhibjyjehxLx+NKCN|7h>8+k0WutU_pH460Y*6mu5dD`TTk8OrfIBTLd+H8b@7rVZ?pq}WOQAvz9a%LJ^V%&l|iO&4J~OJsa|dRf*Gq3TLxohkc1=@2lT^Gk@<# z1$#e=eP>6wr)PI%*;SMTV}n;aj-0P9KAMx<8>he}D+0jGO&e}$blkr?(AhrL-#b^W zfG_b#X~Efs8uINyor!95pc^j{z!L$Xz-Sb%vqy)q;c*3PGKTs%c>^6$)Ef+;ut|!M zyn#a3^c5zae_!kTm;Lt=FOX@y!AW0yoUduAY;74#qVAuV#B$+p zt}p-f?rq)|{Tp%0mU+XM=M9H#=M9!RWG)^;xO(6vzPZ0)B5eas5%NZCq9Ha35f_^j zADfIwph!U^8Y3w-bz_*idx>HH!iJT~H#C+7`s&%VP|t6*MDj{|Qau}|iw_a~}bH9=LO^6vbMv9=~>v%UU~ zb{cOvMzIZPN#kWjvrUlFw=Q*)#9*PT6?p?Y=)n|4uLC70Ff5wqIJq@UoS!?eUtk_z zz`V#C=x@M^6s0m4rnj5UYA?6Ko`eJs|7`yYp zrHAJR-XJRjLO>ptB5t?6oY_M6mfABgj3c*6^2t7RG}3r>Z!X$9tf@b`^UYPfp?b&bQVdFUc85(Y0zrY9hRP^AC=<9dR??7vbw2r&1QC zv;8T9{mZ9MJ^~EE!~_Pj*z>r${1?dTv56jNJ{7}->fXreR6H_^Q9|LnsC>zmD49?h6aIx4<#qq?fK|Xj4D6W2YI7O6)>2rJzJKy z&{lVAd=N7l*oFP&_N{M`H?A*lCvQ9jr||tLYzYGKzPzlhfKz-O9DE%ey&W7q?Hy(I zj?#5F#Z~I$CUpWx;lbu9LcL*Zjj}lf>mdjd6*k470jL*ijpB)>U^vC!#l_#n)!)?( zVN4y!9v=Q~CaJ?+>c_)F>PI2u4W2Y8U7&g~32%3)x0}$=@N|`WvTlalMZ$B1%-I9M zK$g4Ig@v=5#L>mg-qFF*_Brx~uMeybZk#*@w}g9>qpQ7b7Y>&WryE+dp*3ON9hr&! zwUurM_69mTa0*AMA-Xd+6WV9unfG_1+Wcf{1V>aFa2dsF47^HUP<*Yg1I_b?lkjXA zrlu&gv5X6Zz8NYFVtla>o1$VnRD7NN9dGYf|J2{>6WCC1h{XmrO4DeJnTvwFfpy_G z$kmOMDd>p8s0UhuM^mHB%87_Q*a3 zTZLX-8R3JxF_f%1Q%o`@i8$8v9SqyL`ukWre2eA=<&amk#|!xhh*_PV5Kh4mmSQb$+`>vn_-~M+ zjXbEy?2DxiI1&S=K=6nTJSHi)Pw=jJFxLNYVi4=PR{J}^C+x`0s7%lYx;Vhb93@AM zHmpwJ*Poy|S(rZ8P=0-|^Zx1S&*m?Fy}I)4z1xpgu5E{BzD=B>R1r?m#i)Q&bOx

T2ab9H0kj(Wq-&E1cVJS2YZ2r4{$O=4r2VXQRx^aPa#4-uHKV#8Q0p=zQ)vEeFl zaB;Iky`#C&=H$KOYmBdlcn8g#Mk4|^g%4AJ zRX>L*F)346&@ctd=od-ZbYmIR!9T5Dd%SRI<;;nb{k&v5CdL zj&pStW4S49dPQZpXF-T(Z$%MYruV=7rooTqDNX4q=!?P=5@t=yeI2Mzh&ButrqO}N zM~6R~9{Xf!l$(o!WR4n@W+;=?BI8>fNtrS^3ep!tC{%20MkvA;UuBXP>=W2rZ18%6 zN)EQEjn>fP`Z6IB`7)7F52)tYD2-)0uoWdExO?LRk0(bypC0>kY6SC?JD9M50|sE* z(+&dIRCQTvW?DhC8t&q$s)))MMMaobn>J)3GyZ&4!BXduyVGNTyfpg_@&@VXmkw+G z4mx_Qh{YTh{S9wp-tcpD#1oCYVQg`1$Qx@d4wkO4*{h!CO@# zWt1rv(Ivy%0b_mO6n2F91}))Qg`Y6Sue7C$1a9bq0keSjbq#Jj{au*N%{JE`uc?5h z9>h_1vwbna{*?wzMYs>xMB^Fy^ObpvXpK$`e>Qvet7{A2+`94L>cU~Q>X~~GwvSUZ zx=3BDD%zlpHt4hlof=RjRu{#>h*KC;A)G=_TvVL#0TiD^oR|O>YAGC5&?LH2aseGKADV$w=U0hkX`BKT@=Ie$dt36ox;o~MT4R1FI4=+~+xoY5e&!@HfDk?_-rk zU1|CnRZu~oq%qZSa-h31FKh3PH*yo=8j1>sn~z)=8(lqf>hYCJ;C&%zEB*2kt#@1| z-WT-IFm(C^V-y;uT*NR1o(Y071@d{0Q%)U6`~8(N<;Bbo+x)4JMi}F3k||TzB!#a{ zfh>h{{ASMqr?AZ_w1yr$K*;C+cx?eQINT?E68HJlD_>%l%C*JES1#YVaPG?F#AHWX zQ)#iIrMcOT*DI0@Wr~1Wh3{~xZl)Bi(b}6}eaxKvZkXmY-;>YynG8)pd5 zGC>s{0#xy|vBnN-$o6^m@TNM)iKh-9XEAk9_V96a^PwZcLkKqyF=OC_Ck-!G4^M>4 zTGEia@QR2X888u&270_K(AZ{NOM}-L~G^X%(NBdA?U~S4`PdlXaf?}F2 zOo0}XNak<=V}M0~DwymuoWh!->trdYGqWArG|=IBfXE#p7?U{(w}dxKD@e6vyFP=>3Kr} zkg_Rn_&ZVC0Z%dVh8(G5EpNE+yn#ng=FC$EKQTSJ%kZdDfF^<70;LXP4Zub!Y_P(i z3N|k&wF;P;s$*2}HPyswK^@WRQSC(Q;$kQmX3-|-wFw4_#5fl5+9Vzc+N1;xkHiE` zV!YZIs)TrB#3|#2Ab28FN+_1}JQU!i=wm{4nt+IKiI11%^UPCjAa9(UUKs3|X*xVo zm|3rnDh~6?@^uBze4x3()qF4JVyWT5wW$dc<%JiT>!52xVF{rDmZyO9g_{90HE>5U zSV2XKwmI-Dy6AwnfGVJjVxEFV2O(b;yr*Flr)(Ul{L`L=SO3xWKY4HI;l9PrW(?`DNM)cf z7tZRJ@K&o7S@(~_U(S_E#Ga_8{t0QkT9M zsRk zH@;iFf$=DBjiTO=Q@!EDaEi0ciI*I%GG{SZvB95BDni5%3lCO%xO&K0@YxFMkxJb5 z?e#$FurQZdS;-k@!Afh&9uUYlpx~j@!NSFxvIiYm_HgrdrtIP6;x2}eF`V5!DPy?t zSf4ZmV8W7yi`0PvzZ6kZsK4R3Ro-|C&)lo4x^jMe`0Du3*|vs};@p&O;|iQim5#eTS%CI zrYI^^yn1K}0(&cghm7|WcNP`jV#W%DaLN)|z0yFbjJbi^fT35~t;09$&Di&Q}V>0Iq=zt+`yzNr@VMs)(= z6s@nPo5jAGwD@XOP`fT{A~Wt>Rl#yk>!XvCe_ELP^Yv>FsNRVB8~wh3Q#fA~{S6-* zOY}G3oEhNc$npkW`hY4<$Qu-nE^@;`dFVjSfi4f4-C1W zBM}znA081DsR&W1!lKlXDs7ZXr^LcIb&Licr+_V}c{GM-wINz#h|$C$VkzSF6bS}| zT8KDx0);BUpo$kl8E>G7qYzO^ltIs{CcqQnL>~i=O1M@P5D^BPVr70{JM~7GmuE+1 z`P}fpmBF5qja37O(i=EP@pjJi_voo8>}zVU+qXL**dI=A?YS9KRb?vUkv(XtLZmM+g2)L9K$v#WBSn}rH;kQ)S9#+_ zOPgEf4PuJ276&dRXrjO=q)cJFKF0eR{Fu$rkT;m94Y;)rQb$k$u`C#LV)Vg?D>%r| z%3wE47|=00cck`cRcU)pMzLOZFe*I8*9!uN!)XbXioj+~(C9(^`KtWo?v}g9#=p2k zE~B(RWgGk2wvSV^y2zMVRjff98>frrP{jcD7ll$Etu(|a4Y3q)dXq2$77@ANguu%h zY@zmo5wNHd;{jOI2sSEp+4Ygjo?UMH_IMoFFEKX*W|3K2%Yj+g&NxphJZK#3<>2Jy z=;Y<(%)`^k#Tc%h&TAoeay1RsigBf0E`bpiC@`qTK#!M)vEHzEbhmYIG`F(cy?6JU zZ*C`Vgvw>@WhLkPyU(^ajboCM8DFCXNReduxmCq!j`w#rmY0~l|4x2NVpnbTiT<9I zGbbNH9lv-5+W9Y6mas?#^Awz8c?x#Wf4(#ivo8pxKLgzh0v4)N;q-M5EFi2+5r!$J zj|(`3iRh^(|B}Cvf1p14q8@t$<<9Y`%J7D@u06=z_AfDIbsYGNb!`lpL7aQ-O+MJ$4^J z20JzO=-9-=<3}GHn_v`WvpF3vE$s7;y@xdttF7HARi$6egmlZJuCmFqC5OvFeR-i$kK_J8~73^+1QB%=-C>xsVVtsUCNPz1BA}&@$ z1vY7d#tz1wugJgN-SPnS#@xAYmX{ta%pd-naSDQ%ZRgi&d5uupJ^Pe`b5 zXsCZ!cwj_iaAZ`df(==e+9;(?sbWj6VRp)p3*3Zw{S&P+AYz1wi&e#;3Ni*Sii|LX zz=%GEhXRm<2=f$Cu@p)=>R?Y4u2BYrhe*6Vw~14Nq>>|rhfcRQoouKcD#&O~P?tse z<^^~hPSl?2?=DVHe)F}T1~}PQ#p{QP@=n!PoolW;-(0`c)eaH#{V^t-XEw8tEIpbW zegIEefD|~Kj}JYZ9DOuBhIjx5=`<&76AZ{UZ)6)_F5 zxxxDz@c5#guu!8g1wBTwXk_6{fxH1{NF)$yXn+?=BNZ4dz||al(P2m+VZniU98Mrk zc`!b3bD(Rkwf-2q&GRy`&?if!Fa-G6?f$4JPFJA`ZGb8^HTraE4k)Adr$;}(I0MT1 z{VSJhwoz|99j9P(3idp*{V5?DZA5g8GS;Bc$LV6@qaixe#i6|*bQm@~r>b>mg^&j z_Q%QX$PxtMN!}pDffpLKylur&LGDDZUxWRa+12Fdn80Ly-)4vB?@)C!mdslx`@L&jhW%O3VTdtgn24Ccv9LBoztNCGSmm?R387GPNh zBtrRNQ;_-w>mF?F-K}jM&CD%#?cQeI2$Hxr{;l&1Iuy7s6kxq;v z`n$9W0a}B>`x7WZ=|16$RB+n>us}c!-=|9*O=lWwhD!3AGgB)Q4LNE>Vo2bgH(xh| z1mq}!OTv6wbs=!?nW@OT*41=xdhGMLbI3yX=jXOlZ)_)TxbNL9J+RLcD-La}c;3KB zg{KalH|Pk=;)Ld;(4S=41F6FmvA!E=%pPoFYs?#KslzxVrGaU9n15)fZ%ByGX222= z6&k4wSEwVSG>Rx~6hf&}QADc|*wMg(oP}5je0YHsPa0m6U`DCTE0r8mdB8nYh-i&M zrw&so0>XkM-f}DRecS181bVpD=VndSS5H(FbY&*CrWx9^l13YAMmt)4?5y7U)i0EC zi6PK0QyF8cV8%jVh|NHR}!ueOD53jA>yQhHE&z$0Y|1TH{Wo&r1K+hk6= zM*0%WH`qwUbo?sbpFi;D^hNvi#p}XPc;3K~GKM%wp|vR^Kr5^8T4Bu%K15^LW02{U zXh-nX-i~X6-vTItq*Z|n2k4`hI+`yu)lFBGVgWHMzmPZLg8a*IDr z6*!U}d%7%dsj~_7#;3Dq(Ph0occCgO@|knkXX2FbaDPA*g(66$4%6ak)2sDyT75#a zK0X>~C7RGmv^EZtP+=IVj*DSIT?HQ0TQGJN_z4?vV&W-+7aF_JNR-b;VTe z?b(H?iV0x(hyxb4{d?Wb4oED_C6*{WtfW@fQfnKjPRshpS6ED~pTMlPo`cC)iV_2@OitX$sR)(d8a)YCJvA3$(Iw<`f#>pQAUvbmbGc zHqj*I3IcmEzFt}S^YyD=Ut2&I9Y#+uCH~A5r>q~Qpd0^cp7Nq4iYNU%B1kbgIf_m6 zcR}7`IECz{PoKa%g&c`5%wUf882FT#eSLEIB6fp*0v9-Tt3c57mz&rB=l0FN+**aK z>Cu(B+q37cOdkanZmX&&$w*I+jkb9I?fm%Y+)(d4AD1R|(9w*znTmYmjoXuiQ&4Z* z*bgPZ);0`d)q)Khd^g11O_XtI|`{glZ^;0EX+e)co^rQ#tF;% zK?a|J3RE!;UTE4vA$M{^cv+gE&cXlAQU?btn6NOwvS&9~VIpRcSX#2w!Lx_0)CPg1 zVJl%zJsm0R#X!0+`Kz_Bi{F61m9mi7*h;Kz+^nn}C~xfC{rWcY#=AQL++Az4Gmh3( z9jz$n$xLcXkE>6PR@xts?tbs>U%n=>w(!NCN0~=_uz$WzRht~&nUgV8bZEM|3@?bQ zz3osIkcfVacwd;RP;mJ?4el{jfe?~5N@J>m?m8$O463Y=zBbnzPr7Meef?kf32ZDm z7+Nu{H&AKZAdo`cQ6ii}Ru5ZIC|-wqQFbz_IoRg3>Jj~OcAwC=?G6||!@a0l7qGRh zsqScHaYxQUG)2=RLiWA0W8dq)&DX250;C1Lu5HmFQ`re~HN`94Eq9PNE}X@S>HekJ z8t^xEyqjtU}dE-~l%o}cd*5?feJLHWZ zmN$?(Fp%}5>_HY8T(8PGoL-Dgfx!w7VKTchZ}5325`{Pz6=t;@+QedwwK`l88O#9- zp%p%13GodH^$QIP04pp)5fZ5ki&PP2q0lH4ny5%ELaERpR6HVe>L-UPa_!qxky?aO zVGR1GG>WjOF#nJM54ptBZ11z{jdynh0jK0-B5#bB7jzzsuhm5qNB9-#B6DNaRv*0M zZgD_nW9jQ)r;tgKLW2r)>c+IBexQ}=vhzpku5^)5 z)J10joI-g6{S8tvP~JeHyLp(x)5yQ@0eSUbKj{-NO&z8!4q|+}m;PsJ#8>F2l zb{{S`O=Cv~a3>&;D`*Hy!+ILOV(5PHH+P{1IOSP+;#2yy|c_GxM;p@UXN*;UTfIl2}<&Slh4=Pxv2gJgiyR z*mzLbdRU9WzHVjXVP)-MWhI2ArMrcNi z57G_-yD-q=hJqeP@V-8uC*Ic=kkT_#VhF20!9oqDPkDIiIG93QME?q>Jn1=oF~4qt zP-w6sj8vFP1yJSg3AkGy15|kcp$c1`LJ$=<0N^To6@XjkFMYmn8FvUwiJ?*fTKRJM zDwHO;d2U~rxim3~#q`Z(B}Hi|t`-MkLjw(5peT<>iIj~(|C z?ylSCXQ~tt&&(UotT)_E>J3oM103vvoE?K*oPu4PgYaCt0I)a*I6I@{SXXs8I(s`h z(|Co2+`*ZLI0;>MLUoA9(p=+eMoQd}I{fXdJuS@O9fZn91T1_$OT7*TSlrl<#lym! zXAdM04@+bApfp0~EE@<%cWY}83Z5v|{>t6b%AFF0rMtN~4>vP2S2Hu`ef#Wo?=pMu z-H(3%+h^yEcXnW&a-<;lbXz018AFHC+Y&T2QT}-W(ySnP9C*I3|Mr*v@gwVxKEQVA zNU3{_pLd=*>PULhNXa3nVwbvF;Jbp>=wf#pFbirAXk*#>gI;jX@rprR3Mu`^qXO0Z z9x4qMC^f0xpps)9H-P`~#e8Ibus_w^DK>lE>Pq2y;X1-xl&X&LVL%mB9Vj^vK&Avv z$+Bq}&=vEw>sZj%*9nq2Y78uE1OEq%FW7v+nHKt#xz>h>iehXLE!XR^qrx3`eP{>| z$_({Mq6O0~t-8?hjD&NQh09&dcaIK#a`q&csCS;6H?}i}m1Eb^zTKox*~6$`tiR!7 zYZ>53c_W17jbK-#4(C7@${U;sM!FToBPG$9$R-svECQ8+>EHyi#2Pb`G6~BbAZ_xK zg9j5*QuOih+L&mCS{15@4BBeKLVzVKA~;+TiXlscGAu$F9;u2zM5rPo)I1as>WvYu zRv_5dBk4Qo`y;}YVc}6>_@}}mL-21RLc@bX!UKas{k(i-E^dzIdp_D;-tcHD$e-zK z;R^cpBwejKDBs^bSz@PkG>>w$lI+_F>ep*O{y)L4PAa(scGHciiGzi?SiJ<#1SAav zQAT<3+1r8CfmS(=TuqOA27Yk}nyQeA96$;WN*Wvb8?SK63z(g##&|-~Fy@U>KovM~ zA$3rrV{{Nx6<|`ZzmPWoSO8ZEtw03{7V&T&`B!sv`s*3|McRy+cT%DBEz3qZ#)I3 zs8k_;C<&`}eu*+v~DtH>5ELpdqK-I~_jy!211n_r3MT zhrj;icJc=C%u5Pqy4%jRHjI}Y>P*+y>q1LH;N2rSUjvTC`uXJR)NNVmM=MLv%epzxeSeg;9^4y+H8FY2QXx7>n;$W?$KnjO zkDisR!aRlgqJqWfRwQ#OLX7w1tCJV!6L?a|!Eg%cVTo-{l4si21`5Y@Y>p-=G)#$U zpa!(^05T<1p4jC#+7GCL)+knKKnc5ood~@hS2~)eYs$gjsEm(27#V`spFT7&HPBP< zV3sMht5f(7q(o!;(o#!3&<$|zqcg{E9-pcV3;7Ot!^>=+Cs4_Oy*zIS5*1hlQr@s+ zdBZ8p%>{wH5n{|6d@M`j6=p(Cd4sfOv`H4avo#JUXq=2n0lvigSV!6f)f2fTD=RrO zGdUw8F+DvVfF&_8S|6*@sKWqQ!b1H+LVSaRy^WMGhE~FZ!Z3VMgoZ0ZD564HFz5mF z!2**JlfZWpBmo!+4GRpxzZvQWISl>FAe-?B_6`d2_V<^|A%ogKUJ334RcX-V1A%`n$$Md>3~7%Se|T9`Frnw`wgYcJubi(Esmyx z$>@GBb~PhcVE+Yd%)dN7!M0$KObIwefGW_t5eJO&2227G7|-F>!gXiElr5kNzdv7` z=jGK;V)_Ye&K}4cj50ck%SyorU_5mIu-v597MZcYFc5mB&yJ0Merz1PV%F2>!b@ir zn1!KTU5XYC$5xM)I4} zN4JwVHschXEF&12!;3+B6$jH?m1~w>+Olqcv01%l1mj*_b^wq zNo_nWsZHv#Ti}DO^+@;bcHPGS3t^W1EDiv?0DzbT;Smaa14ku$_i#Ky1qRXDDKNNU z!HDj=K6L!>J?r=0-uveE>Wz1Ign4^**HkSY9b1_kz0}=usJ0RTk!*<>i>* zY#!}so}&yss8jyoCqH(wv`CHC!uV_ONd4^C=$%<|{rZBhdc3iW_BcQa2svLZ&HoXA zg`mnDmZt!xfCYpG`y*_4WPV>%&BB+Mqz#Psg+ljLjq!4R0)$f-R9Pc{F{tw3%!!B0 z>>B&L$eoz^RO7C|?E$EQ`vgMxKV4h;3TS0{5xl{>z$udxQ=RRt<)y{xDb5G>Y65-Z z{bcI@pS|}EuIs$Ae7mOJcs$c~x9xURvPeqI83afW072wHixoxJ+Zyi;_Tu7lb7ZK@)9qX58>AcxoFccx3Tt8w2VHG9 zBLk`p-e#tXl*7zaN;xDMn2E8l^kKk?a3D8wz|)UDcLTBL99b1`D)dySs-DtfDK%HC z3Qt2hZh%%;L=O=o%MC`5t0fWLJTG?6$%leA|XPDx*0-KLWfu)IIPByH3vkD z!vK>*0+_(?3REITjMZ>~x{Mb7g9jjS%+!G`DhB)aYV6#uvS}UljXgW@3GAtKGn5LYQEqB4 z!^rpf3_O)}$h|5ur9#R6+bgOG!{7*~5cu8FZbox;DGSE--(cL7x( z<}S80OjHy%rzI6dhNSs=>h0g{Wo@45_sCat0aTu|vPA zB>dK=0ZmeJ>I)I3VhI)ZbCwiUz$t4NfCYvnF)=D8CL}D>S3)b!Qum5LD}W0j29rAO zy#Xw~U>51o*WHWd$MR4t=^0ONS1-g|023uD5g#HJO)?_6eH|V9>uYb#PJggCcYAzzrK@GOvZ$K|w{V{VPn%S0y%7 z3iS=qO;3H}nYi&1r+l9yhWxiZH*P59qf|L0?dYIrR1t)%7`=q>k8419!437nz$(_s zUWTV&=d#k##PF2y(!%Dn#L}qn<9=Su2UOkkW=f!Uq?K_PB@SCtTt+)$Lk2UFrb`Ph zwbWBayVBQH;{M{e@wGU`J23DGr2=LN4)%_~)+;6?c9l|ziw}>D3l}&gCXBunT#Lh_ zg2N(%LnBy0c>3Y&$HFTkAXF@+4wD09nQPbTOF{mjLga~tb2Z-(Xhi@PxlC$f>0^G3 z@MWj1xhg?dw%Z>tJt!=~MAo5xNF*N5U!)np9Jmru{FH!+{0aM|97ELt0-AJ7O9RQ7 zqpr52?os>!Ei~2j59~j*KHZnElVg8l{oRH656>>%pB}$4*mJhAYB)EoB{sO+&nd&s zDA7VE$Jb@Jwb9SX-qy$Ns;D^e{ti=7a|v3 zp?(fd`HYqX$(s1_@&bIy6U#3ILXzLt%VG52|3LBef1whp2pJf_Q({%hr?>i;ID|pY+sog$SG?6knGfU%L3<;@O+C(`N?yh8pT>b8~XyV`BsT4{Y8P<>4If zY!hX!muzd2>uz5c>erVVH&K{H%7Op+`S#`_7sqd%Z^(5~YbX`T^2<`R#HzDZ!3aPV zIprYP5cvjL4rJ%}j?!OmZ0KZYz}R{R1ATjaJqDoI((0~D+lVgxBa)0$sIb;buoTC# z1nbL>PsI&^Rvc^@#O!Zn0a^hx(fDR|Na#pqv?2thQum4o9fzdQLG+Ncj}4ao||s2PIu;&KBHxf(Vo5d>f{kb70bhTizI^pB zeg@X29PdqxDxiw!kQEv*>Y_-^seubvO2-O?afwoaU!!2ov>mw<7R@=bAH3tPcegIo zRbmWPpB#tiE7I9M(8dzMp~1eLQ7*Qb0iIcYZYSLA%7Z*RlA=##AD^!%zR*;^&{&)A zWcTgj20`PgxFKA>q!Ndaj2h~>n+P@*F(*MoiW|mm#>5RnXCs1!o`b%gJ@(^xf#R-% zONP!-YgqxlDxy%TDNuDPmO|J4M7l{lSqoB>$H$K+K(8buL_|ge1O5I4o*JwC3UEN_;JhnKU5r<1$jVjMi&Sqg08K@VngHN z!sFt?s0IfHdbzmR86MSKSKM%N>?Lk2Ezq&};LPm3@l!Mz&6G2;ExJ6=J=5`6y1hwN zeAwxpPJbtR%Oi*5JzOhdBl~kR=W5G&=R5sfj8Gw5JQ^KjKI|jh6$lP+ZL%rH>0wIW zHwU^g;*fM-%5HiQIwX*y=u(l(8p^BjFTO8c{sAvM1IjOp0|l!xE?5;o$VwI*gbqN8 zT;h-I*}~DdhQK&V@f<)T2PBUU_@w!zjutQW1y9}y`J`KKl_J+ z8(#}|vC9ezIN|G779P}`5Y?TQFkY0iTwjUuFyHaTapSo-MM%H6B`;G6H0$QuEk+=qJ{Z0xjra_|=x#52dmJlDgfzoKBItKHem#POI(PEtZ!dFgad z=Z%@E$CodDaZ5~i{NkpVh#}eyAXBK2173vL*Cm>dsHO91CYq zq{rchNhrKPGV2eWghg0#&IdBTCB`5>MMS}Ojm5U!;y711z zJpK$l)m4C$g5<=Mun@I9yWaSxpY3?%*DAmNox%3EjP`8P-@Eg;Fu6_bPES6Sdt$z- zbgrf%-@)d&`Nr4l%!PhK>#)c-G}VUZ(%5bs{Q5&aQ zcDSE*>Fd3n!ox?bcDky`97anD+K;Ccga^mDIh&}fZTQtMoQ(9`^)%g&91Jkj@;A}Z z*}dK5;DOroHw&c zmKL9!98If|SeM-JUn|PG29F z0Dn&i8n6k#Bq1S^PX-FfDNzUpFI1K{*S#G)hpcL5{) z^c}R*zTNryc&Pdd+KlDj~6+{YGH~J zhkz=l#n&p;H>4_u04W#-iqHX0Vf@znW5`DZ*G7ZewVpNzS@<}*oR%AFMvL=X(~|O` zB&^MU_m4ld*3k-cw0750by45#rKu8Pax~f5#?x5ePFFiEC}6UoW=?A11!2$UOo61Q{)!l(@(0=z&ND(~QQ}kP9?2~#PgxVclz#od zVVVq86sVKokEp*yPd%*445M>|`ipDe{1Q&ZA9G32yCmkST%@!9gL6yQCQnawwRcsN zmS?0#`FbDPxeX?T9K%IzmxuZuKW)`8Bke?M(_A07;;0a=^R9xN1%@=073SDkJvZN2 z8#kWqPf_9&S#7@B6{~7~Q1yw2598@|w5gFg=^u5{(~+4X8y^gk=lZe~{uRkpfY`a)%)LD|qQ&aP+;|7HesvI@}pcRW_)M+J7_Mqfrtf*S7 zh7Q57D3(GC`lPJEe~0o2{}C7>iWmSBK2U@UTdN>xaX<_aI#r8f7^_;!abrF6VeReu zsw?kKPq3*!m>GX}bns?Z%Uo$rZ&E~6fNLhxmm&kEdfKw%V~o`HC3(3PMTK@`q#+5u z+Sx=I9Nib$1(}9a9UXX|-g(kdF(5-Ur`#Fp#ZMB!52K}JDqm^cv!*VpXr+Hy8vVg# zDaw3<1M&?i<&b6PqCHmBMFlJsrBQ@`TmaIvamW;0zeMp|Bpcmr=UW@bN(&oO6AL0j zlDyn0)9I=1OQ65|=s{Ptojw}-g7pr?n(3z49?S7?tO)aONlzRq%A2Yz87VEuwzYhr zzvxqO18hQZLo5y?R%K1xQ0ONGTHz&aO}0NlfPKeU5!<6$U=tvW2p5DDWDoF|_@5iF z^Z+&TayHQYGNKX4g>h^QIIvJCJXdv|ni@~Rlc#>9bwx%i5Feo-*n-itqLhJ2T#2&B zM0Pe7*hIh+8KJv~2C-^Fb>>6W}sJ(L1m&#n-DkA^K!t_LfEI2}>A%$(ThN9tuvOmHunuhA46Tz;C{P zJAc12bSR+8s#!5;1t~a%EYXo9`sjseBqa{1-ZjMK@y?*AiCyn$Ki5)Ek0hOvD8ABs zJYZ4`)ea=njdk>(hx#u6Bm2V*)RV0YPdHf>db`wy1+>IR4P>QFmgbXhWRq{GzQ8B& zYjBF(r6N-*GEVUlf=p)si`-cs5a0=Gxw<4s65_-Dg2#k&0l!2=1x4cz79GsimKVox znzX0k0T>?U9~$Zlkb&a#$L`P1(+3+3u@pMbugy0Ew<7heSV4S^0HNNbfb zQBo)J0TonwTM%~<`iNt6+R~9K5)3PL;jI5nS0anUt%wU-{>_M*x_Yu z*;86{XKeV<%xN4T@1oyps2I&kZi)0S^S00Tvg@xX9BOOQ+P+y^?LbjlN^f2D@~NR) zb2GR*0#81JK)HGCPj|$eNAaVmkgrfA2dY4(DEd=m-PhTLkC*^RA%(;hN=3A&Oep-m z04XnV$`3zO0#%;il$i-J`BBCxi*vG5@gEQhN;buicOPFm|Ix*BRO9c=&73tbKmhN$4K)cBLR8I$FO43o`T zN8I@Od_(S1A?2VnYJO1U8}gzoV0riuqDt!{8mOe$vvTesatsm-NiOA1$GxeMB=ex3 z#YA2Z6YNu-hlp`A(r3T(E2JpQkraT1zLLXY$vV#$#tqT(W@khFK~y>Pj*TYV}YiTBciJgrUG2};U5jUjJAyqjnc@L^Kt98c>4-4~- zg4|mt`yWk=d^pm7zo+#|UFq0~gywLc5>MOGU{A=Dj+zSCnJ5>B>=6H^^rVUMq7~6W z--T-v-OzLyd^kRYm>fl?GNrj8S7Q&pumdRiZk z4Lu}38GwXks^fs9_~J(~@sol%1DJ>#A}^J+f~dccjB@3`rywSjLCRAF4K6%6bSNHQ zhK?V?8BoRz8K)>i2gC}CTf@W2QH;O10QmMlI6W+djzMU8LI-ylaLQ6s?MO*}%kgB$ zlvr2C{cmrK@p6r_GxO8h7p$!kYpjuGrJw6;UFPdn7v|p-8{U#NIc{vFbnu4z}Cvw(%jX=$m-}3+T zHQwrKxz$#GrM7G;JFO=s7^jqcSIhRye*bC~PG6L0IP79fTGdNGe)%uiwDAM@zNqOJ~3A$OgddK#zv5cbI5 zNDA@o{+kLuh3`?4ehCl?Wi~$zGJTBd4?cx1l?%(bz+tdJLp@{)5@nf8neXfAt*)%h zI-V90s`jY39I67nnxjHGlVgUm(odHZoT;mtYp51F z^B2`Op28_47_x5<2lAquUQrsw1Q?vMCf~q%i)4c;sI$Hf+t3Z`QS|4gLVqr28l?A7 zrQirzLnTq1u$&e!6iP`I2??1Aze^F7q=gR@SqC;6>W9`5Hw3W)S^;Q~&tM3~uY;i! z9V=_&h7>g9vIeM%YAt^!e;8qbC_&pxh=UEbgsi8c2XCOn;cKOc8#;T}6*nk`w4^1U zZ>+x7QhTkT;!0)FTy}DIlz)wnW4?=dXHMG5md0b6>TafnQLc_9F%bg=*$efRBF@m6 zB0Ro?cN3%fF!-WEPTv7qV(KKQH7JKtHfN@=NIWF{k95a5CDlcR;){FJ*XTEtH?nVc z8Nd9k|ClqN{F*qB`4on@$;pOL=|~zssR?=*ph~;JeaQk&9;9+-pa-0?&`?b$ETW*i z(7*^sJN0dwGeZ2MEe#`d)#8mcGA#A-9nDKT9V-L9T4TZnGm=ji=FC@@QB7TJX~=iB z|MSKTWr;)Cu7buA9#(X$ARncgVQM7RF$6XNk8qooB8EAEf^Z=%QD#)E)#$-H$reQD zFwi9m*&NZJazf~M7MMKunP-WWH61HY01F7kM<5gr%C_jc$baa{m#~SVTc6KavlOsJ zhAN~?XjD8sosa1sU5|c)|436(+_{>vn~l|1t4mf&a?fNY_C@;Fc-xnF+6__^ZEi3; ztmcmyf~Q-4L}=TIw55isJAEBY5`T}5HZgjhv{QHy6F2TL*pW#((qve~qFf$$Jqghl zMRlpATH$9dJ8wJ_HqkZd`0{T54;|cXoJT+;}dZ0+S+>DY$+~e2Ogn5;#R(GM@q!0(&Al>!UFN zqXjGsY$5{_UkWHMG__BJvHb zL*KAe$7lm zso&k*=F$HB0i3dOUVs!X5BL-@%V6Qg%;edV0|WInm6^x&4;~11woh?)2-R1Q zF*ta_LZ{Hdlv`MPsBcGfNM~YHe@60HVa`l-+1b{{#kS^r`slw2PN5q`)J4}U6fslAlrwJAmJy|Ak9E*Da?G#q%=cRLCqwPV!?b6Wtzd(UaP7S)F&uF)>c_r z05kwNWF3@A(Sg8stUGSVGB9f}5ix<^rDlr;Y%Qw-av_)yQh-#zB2W@#jnyb2$BnOu z9>k5+`o{X>hKrs~ZFKltan9wcqATTjOL^&|$&qcro|Rtq_0b`713j@`?yvmpr#Opd z2Km(`#W7kMz0Gt@IZVpKu|dkAA5WZmJTXkxAx6?8BxX35lxc8Jk@gMbt&* z#8t@4g}LbJqeA+%_U6U0$U2l)lsjfYjROkev|~~^u`X6X&^#oeK@os_Ipo)jwt|IX%{0P;8%8ME-xs8lazUrft&jVXvRZxHNE2LGJi5)Z0URSgDqMvFy~|w7CBK6BFI--ZqwIhYu3CnA%w!8QOjVC-cIEhN{b* z&0rI`pdp6~dgniy80Hw8F9B5;p+e{o4NXtt2L2m@p8GOFQKAy%6{tLT88^QFXF&O7 zDJd1Xz9B?ks73{S21pU11E>N{A#^;NI7RIXDwav~i;Xo?m8D(T8HEuc*aOD;c*Hwe zhU%!r85}xcsZ(TcQs!>m5aih%8#D;_!RUT%{iHT1sD3d&hcHe)Ss>{8!XOi%Svy|Of5@Kw$wRd zZKxj|;Fpsa-%yl4($;crbod>Hp#Vl0?0EC)7q@TFL{CFK_DxKp|7W`CuU*22R!sLh zzf5DoM@whKlFYtD6aDOztoV8&`ue`!Nx%Pl_WSr3%6!VS#HT=<&Cg)`MT-iE72Oxm z6+Q*OuRoy@ym|@U*Skw+u1=1hZf|WUq^(5F-`+aW%|60F6P$9~{Aj+tajBbiZGc;A zWKefvOkYOwa6$G|b@|zj=K1!PZ-G-_Ph^}TCmTZXg;YbCX&gQTd>|8G1ERYSIt|== z%!E!uQ3#bf(ARP(Qpbm-5LPLc&{IhYEBWyW>B(M}vJP{=4yrId|C_}P2c-tg&_U-2 zvNYHe5jUg-6NK*{GBY~VRx2A%1&XylDuM>FNTy=pQ{0XjuRm_M9y1Mdb;kV+djaJ4 z`YL<1c5Knzu~}{7YbtO2cH1kz`rXfd3hJb666fwx5*^l-k#edypJDV&=#wWr(%UEl z6UK|bFPYxcI8P$VorQcuOcrL8hU_yb34s&}^CwW{OCUwgJtTzk5~QqF48GrSRhe&a zAcGW%EK|6;k!&C}hfG0jE(kSgh#M&fiU>vo$Jlv8wcSsR{9IjtT2dPZ}!7ny4;Y?r1sF@txwv6Z%yO8Xy$fQea5g z1r9ohLK(oM&+di?StU?pW!IO8S5euJ8cSakG!)T;w-ILuJA5V|uEY!LIgqFjp#h^d zOK~;8-(yX?iX+ECfu50(F9s>{=O{H`WJIJ%GEQM=%Q1ta`dc;>$4A#ihvZr678z@l znP`?6YozKN2-veNLv4SthFbid9rnhCO{FEb=cc(M+&evdp`~V`;CNqRXhWb&k*j5W zTxhJaPBP})6gDg!m{XL^C44e<{inBCKv@%Mv zJ$Ay)zBs_MJ|-01*KlF>RBgpXeRaNvYl_OAg2So_`*-PXc-?9D=Cq>+ii{4ExFjD@ z2~^u*vwh>yts5C9MIaQJI!Q@U zz!N1fp-`a2CaVW%GS@EQSkA9Q$DkbhM)?MB7Ve|Aa&?ruJVK6xq7zn9ZV>lq)TM+= zP~tsoRf$7cn`3aSkbB9Q56KTfjQWh9m4)cKMQ1MYDeH+FcE(06^tGLt{a|_F-qh%o z?v~l|yus9{#t@GZcdLp3*Z#7CKwHb*8-MR>XC3C|njRWdk(xYMQL@m{dY$ZIZt4%` z7Cu=%^YPg;e_T2H>G>sQkw2h>zBGrs*C(ibgxm{|GEY(cLnf8aO$pyxlGvA%Q~pXr z(EF33+XyI%v`=bL5td)@DWa7g>DRf1&sNTTifO~yg-2%>K3qQYaOupubJLechNjz_ zI~npA7ae48oe}KkpsDJnsgmkuo9O%tjTG$zL25gR8(JG)JG^a+!Tvpf5vDrII0aTk)_MsVMc@=+ zF1i+>C^(d-mEtZ7tb`Yp{tDm^{G(Y*=~8O(J9+>{hnwH;o%obGcsz>fYlDYfcibq&UzAp(2WO`4 zoa|k$D?522u`%4c#M1^};(Q;c{P2K7o8Nr>x4#Z|afWXkMU{AD?cGXw{1>@zzWhhO z-5F4RYaA%a6tWKTNzsKQR2<@BkU+{w>g3E-5koPU;Kr*Ag&gj`-q*3*TtC7bgySih z!T!_=l6*ah8&P)VxdHC=X|c7*ahaYD#X(+`5dn>`v3Vz^>dL2^>MDW*68G&YJgl0e zvfE(e8+JS2PSqCqMuCAkaU)o5r`5I%YxSZ};s#Md>SYr)U!oO7O*GCcq@farB6Oj2 zsL9=GPiPcn+>p6fDQ>{DK2y+G4HU|VAs>(i1hFK^1f|IJz>}xm1HPcXDnk`~z?gyJ zDUC#tamp&0BDzu5{-TUcNQcOgNSvtjQty>ocZ2A%XTi|`d|X}aO>~c}CvMn_e53rG z<;9P$Tzvn`?9EdH=UZ#X3yych1y%VuWILE7Tj}O_IhUuztGxO8+poVG>+X^-&4xtX1r=_gAH=2{x&+P{)dS?k-g zmQRsjh_?h%loK8WjRJ&$LwO3J$TUiPyuc}Ip-OVHka)?;u2qDx7M>_jiL!w3?14mm zf>vU`dA_km$YE@NmX(?e-LFEtaZnW*Dz#SXw?s2Z@udVQWEw)Gf$W^rf;L|GW#L35 zSr1VJ2H-HN0EZBxgQ{9Px2-R37`IfG-)Caul}qm}%-$FoTyCyCm6y>T7hL1-oW~pl z3!N+v$B~wX%(y7kt#4;Uh1cht7;CCuVFcUEBzY!HD4)@BaQT90j=p&AqYGz0#Bz`+ z6bi-UiK7~k_{4m$^}v%ibytS zLh&#)_B$_rd0Z2G=c+^_>~ z@K5u%i8eP)bumU(3{^Qm%7i4ILax6;DXRE)6+y!aGe8rXd2val_6sNjnxQYnrh~<( zM`N`Edi(c+>DC!Hnk&lgom>9&`jrpQF5DSEb)mg+tSGBJA*9mJIorWB&BmZUHEwBq zBr`5rZS%$xe%|HLVSQQY%XJmEx|;9ww6SjU*xSy*t=@KQ22r8E$7t!nF3HbFYN(e; zd`jzd&`as7&~(Z7DdmCU$Cr`h2XzL%oNSDWTDO#MATpN^>I$H)DJT~n(gb- zot4&?moZq7JziZl*VZWF#y6R}gd7xXLVZJKQmAam^y{h@v6w@&+Pr2&D+-nuPnPIR zA%=*KHp&7rS^=s&i5oA97;=?zHEsx~LaAXblvw|<1Xbclff%p?RFPN}(ZlQK>xL~@ zbaV)nTdK0k50n@a0c~Jg$f@Y?CBIU3{(1p(JRIHK?HwJhEG&$54y&#wZa5elH&<5N zU0!^2`QrPF^EXF_7hCE^3Nt$rLaY3pvK@>w9nA)-ipP37_rLMG{X4c->zx*BZ2eobg;-_ovcPEaeuULc*iA<3PsR)pQ01SJ;$uYX5X`TMR@7+Q3gWVHe zPY=#7-?OEzi~Ih5n*SoB|%ff><)|l4qtUv|h3iF~(dpc2OSy^~;N6mJI)SlwU%w z$p&Q4QY8Sb$T&r!P6Rx87Lt6;GyK-K%Qpx?q!6gwk*otTr18@SkeySd;Yac%ULq(- z6%|qr8-Y-)%t@jof8)cjFceWSF$Uns7zYK2ku;B9W5>1wn>MT`ZcyK7t|-5=y!2q@ z{Jn+QYr}){jnxC$X)Q6qCEoTY?2U_q+-7<^+N;ao{_U?)d^`%mg1Szm%#;_=LVL5b z<$izH2SYs{4E4P~+>4XXdxC32XFfn7^ugrFgXwVy7eub zHL`;PGW>mh_w%25ni}Frnd5Gq<7iguVbc=nH*48(aby4ySky=QL*^Y9uAnAK)?3!!E^Y``#oh)^ zgro=onAEExpbF7Il78tzk%$t9lmZc9$cyOZr_l!SJa0xJ2B=}t&%&>8V4|jCw12P8 zo}KF3wy11;b3Jjx&d9K-y!`g^;)9Fl-D}d}vt8}CCdVG0J;NBCPcNVQR^)tFZzjb=#=H%GflY>Rx9?y*%Yjx&|xUp{!af5In#|_266ruGJj$Z6O zdd*x6g#n;gcnj+trQAW~kdPuw0=2RH!jAZe2rrTs2YZ&y2w(}YMky-mC0-CTM4lk8 zRofgTl=!9xq%mYWRBpq=M6Zf000VmX`?=9X&-1)0=Ragbz!qlDflzoA_f+^7Kn>X! zi53gY;^pb&>SAkaYi44itEskkJ#oX{$f&8J?Do>a!!rNp)|NPaSi9zL{I6AhgUSObZYU<>@O6t86={kJ z#OsHXBba?*vv8+hx=x1s?(}zE?rL6Ys+q1T?an@46d9W2?XF6yyy1()cRe?sqO_Y2C&_Ni)>5H-q0gX3^il~_TW=aMn8U6_Jg?vLQ>1fD~ zsRTwcE>aHNJ-e{=+xO-h>xmoaz8XqPuFcQBdv5Xe)cE1* zYC3%PRR7JPo=e^Bb4_)Fj6yh`k`obnWY4bSVL|1Q!61|hckA|0k5g$;3#HlD+UoKA zc`!H0$ViMD9$#6xy)ai38J7CIxbYmEBIO&T8KfLy0KHTcm1fW%X5&aOL>Cw$jY`qx z84`X-7Qr|m^APJ0$2@}r7&f7Bmy!@&OfsT$AXP_|DzC2uDbMB`-#TspRj90rs)ey3 zf2|BvRQ`Q+b zY>f;X%8IYg&%U!be|>y-xwC1sIIAT-v?9QzGSq8vu&2MNe&41y{p@UVqr;nXvQ9Tt zUmomzcWUg>{M2Klp>va52_IvyFh5Puc#r5YGx2C=|ZE}Uyhh)b1p<}a*o=!>{bh`> zov}efS;>vL*}G?EFP`k1Zmb%}No|S^EcJ0H5A|L=HBfpY{pju;5zh9RLH-RX@uS6g z%MDf6J6mo+qA?j3U*f@T$hBL&9e4UVIiTqPhjY@=yNJFNwj1Kg;S!R9hTvfpaRbL% z_JtHfUdD|dT+IAZxR6=(HDro1ZV2+7CHNGksPy3~d54+85>wB?O-AsvH%wQSv}B|( zj2^EBWE%wuG*tzbyI3{_I1R=H&gP_^uPwXL+eV*0sf(Bic=Os9cW-}q?J^_4k|mw_ z*TfAaP7(0m-;E`MdT>2>KPb>k1}Ts!pby&T0VCoKwAC~A(c6UsKVMfUPU*KqHGl(P z3%rbsR@i+M5GW(CNxz0YdG1T!A>R;f^WGT{IwslUi82!oVuWqApJ1=@vR z2$m7GP~>4+he@jts7P$Gkh_zgSRK(YP}z5Q`_^^l8+L|<402EE`@*33+KFm+nE*M5(0rZ4}tZ+r$;L5i&SQcO-+ z5FmvsfhnR){3KQQQf%1Mbj5fVyre$-RsArK8L@(mIXIl&;u5Lzkms=zGlJ}L?HtxNX5W^7?$ z|0^hrZ;)?%r???{+%PLPlZg_EjYTzznYpC?GBc44g(z-N=>?d`bgdLOXt&p;-CiPt zXwDTBt+lq6;eq|%AZ{2L))O}-Pg4}VJlH)|Q`(gtR~hVC65u-8P&GF)WUsG%c-QuL z50`uvGDg%2NOnwMw;MrtD&Kr4@Bph-Ry_lSWa^w9m$?|KQqA2tG`k3u? zhw-3YEo2%uI+`xG)GgIk4i{wA!@~yo9@(|EATg#kDyYKMveD16C(3ssE9qQK3Hb&W ziSY5ba#8r_zx&Q7cWw@p6eaH6vEI0$CgO&2NgzdHQuK5r0!0VF!u}Eng&9mDZm0=V zf*pspmXKr%ZbeguFg(xpF7g zpB`E6X&bLB=uMBSp&2&NWxS=Xr=eEmtv3VQT~nhXsuZ+=`)|!nV_(nL_bI+T z*Drs1W#yBV^N%l_rS>J({4_}^<{Q|Ui@~6@&r9}zfD|crRrD`Bb>S(|$~V5v{SbE9 zU)g`^sqgMfKgv)=#-w<=F(OvD+2fxe{8+##?@o>i<5{wfTi5<@?ea&LE~5LovoN^)V;f(-MKYV zT^YZ7J8>ia%leIH`4mS-ODISQWw`Pvvyd<*3`SuS0a8FKnC!8AcvZG0<~=eVSp_nJ zXORY=c*vLqhJ|O?w#dM~1yq58`7ZeezbgdiHkM+Po31vYjATQ~Hx5zrl(QhR5gL0L zzQC)16bZ;6M+c_}TQD*W%u#(TU|S3rOJ|_6cm4T>t${&Ze(vS*k=qdBT`eOeIqk`j z)xqv1{w}9m>N;yGRo?o2fURYyqg`g8Uwv}iP=OeEa~Vg$-ZlobF%9811Dhmo4aUnt zrXcb`*MZX)+390u)-TSBCVIiAJelqI5~uu?MG}EJS*vX+fRsmgeBto6G>S{rC;J5`j|m6cW*7pc8>XSkbFRa|(Xn^ldM zZF{KuaB}#3(TSC&s(YvUKUtXh?84bEZe0E1?yXO5-59H@iQTo0epviB(0#e?+45Do zFM(4~Mgdh0DjHzPD@YgwtswMWfD~vHO+d<%WP@fFcn7Ke>1t?zBn%D(Q3p>-SVrI% zk`T#aORy?3@40TABHTy6W89Fnph({-XowPrH2(z{#UDu)MBxIE!pIfaABk`gP8|xa z_9#=C^d(CoQ-mn|I)O!h|6cX&>*iDR^=tETS4K|W93MW{)jVFB+m;w!#?U1%hm$p> zZ51Ud8-E|->J;JWmL3{XcOrePw(??s_gz58?8JN1r|*uRdgt^AkoKebDd6XAMj@Q) ze}oUMaQ^!`qKCH)7xr%O&51;SrnrUt5EX?(`x7+dRZ^}~Q zO9Nah+^yPzT?gUe+Vqisag9@P(H=ckL;0YU$M=7vqfke0+b5D0SHbP3u`=#)5SX4PA&vP~% zH+Syr@SZ@GuPN1Lc?nq%&Ace&5vY(sA@}m| zkQ86uE_AiP!th(7gFeh3n1l4o9zJE=IK@U^w&A<-IugUn{arF# z%xOhzD9qjS+V6A@s)o5ZCi!}o#>X%ea;&QSd}r%TF+*pNYXkF*hm&}2j6BA$dTa=X zK;%7iOfx1!UIYzM+>qTEq|5B7(D9|~@UL;B{WS%{wO^I{yo?Q9?Qfr`scgy0s7p)wr=R}BSzo&< zK0MFaqSC{EG%D}WkyC0wUOvbgdq zKo#D=CY1$X&*e=d`wM0sJ!xP8q)?ss&iRJ0|B@@8lx+!jNI0m>a7+dT5}^@~lCNA8 z1*Fi2!lRIIAhy?3)NRmvkZhQ!s_3j=_qBV6jjm2@)`@E;`){49SDvp!^e#!&ZSLv>g7@zU7HtdIcJ&6_Hd z<8s|>%3Lk#{hj*bf+w?6&eoJren)Ni{_G@p=fT;<@y7b7?VF1ZtEL>-qrc$|=iQs7 zxFLK3la8nasP0hu_Ks&NYOrOHDzkzzxZk zWne2ID3w@RDQ<|+A*dzfE26q9gl)$TsT!;7)7icA(ALfC<6*bsU|e-P^8TFC=1s*o(HipUerfvCInX!?K`VDY#P7MpL%t${~Uwdw-|IYMjV*bOWxrd8$ zIKL4V04euI1_&lNhEX;rH6`WXD^?iNN<~pwzah6UeCby8B-efEMf|;vrB5Xrt3R#^ za-{U*A^E`6_@n9ZkEX{TPmiPfLIFtT$;3})wA~!+M)&nOmgdkRS1!`oL^bTu`Q`T( z=Wa}l&UCc46&IAICBORfe@yoG%Jz23vNNvmv}q6bKA9RlQE=jHL*@0}4vcWcCATnp zy1tftgSdfe)Nms{fnOar_U?WXHzamdQM;g9O%ykX8=7n)_7{7KA^-;<5uOB?Aa9^t zK=hy{z&p7?6H-JOMEV?J3)_}EyEJ`3*@dbI9dg8wgT}LQLy0)P-GPi#NOhtj1F7*M zIH4mRs6y6+7+zAshms(XS&5efPLYQ~I&uuLf;xpI3&vce{uE1dP+(C#NRL<#=zR};sz?2)^ZSN0t zzdzXhPH!6pcC4^3w>6$^teL4Q9m>mWNRG=6@?&I=m9BPvL{N&Yai*PdnU{TQl>bO( z@?1sXx#l{09NwE8zc)QTR$URX?QP;ly6Rq&jlahyQ0Yso%s0R(YzGGi3$a<)DU!Pv zL`mQi#TS#}ec5+F56DXP9g73r$&OH3v-Ee*N<6h3AUF~Q} zz3pxCQ<8dWs-}Cp5Q)8aZuv1o=h1pe!|2iQi1Ch>FMbL_;gO`2-dL$U_LFlsra3wG>g{uN%7A}uZu!g&S<&XHZ zpc1@w9oH8O96q>s?$-S5#qrUpu8yv%3e;bLZZ5C?`?e6d*&Uu~y(A!5KbEa4viTI~4gPP8Vw>9Li+l zdHIGFl8^5iH>8OwqM_bIo~Uw=+6AFQ#0|li=VHTV?4 z!)|zSUt8SXonV}jsPKjAvhy|NQw15FaiOK&4w?4GNoLv+28Xf(JthZw9gZ1$80(+# z_h~<#JXcw~(p-D93+Ii_cWHVZ=z4dk`@P{_oHsDHN7Zu+=@(-W1T!nDrc!^(@I9#m z?upJ^X8E6&Z*Uj;2G{M&BIMlyO7!mWsF`pb8ZXECc}~lr;zu5<(GbQr;j}2?#h~8FuI@rSe2F z`qgnmxP9X0_wC?`^0z3rlDEj>E*k1dek5_1pj60<5SGwB{}fIk=|cSlJRxfe4)Vs1 zm|v7STs(j(W@d(32lu_WA9n9fYD5Jg{*#5-^F>*w(&O7B{YyP<)2#I3j5Sjoj&+w8 zP7U^(X={bKIXC2HpKfiuMx}zG35#>@&(8>UerfK5xyf6UHHaa=lc7H5elp?l_8`+g zMIRHPLztOU>wsYqO>K%M>$Ml&Q^@6I-1vT;fwf=R+G9n*YSrV5dj!lobP2Z%D(Cl3 ziSb+9MBr0y^mpEst`mrRbR7@RF1&YU=ElU>g`t7dEe%~|#kE-(g^6*=AwfZ|&KkS7 zCk6#%AOtdvF3G%9o32kB|eReuNKWAGT&ULo+734*%#tn;2Z<=k}$k-Iw&zu9f zE_!sw+u#&?dvnk|ja@!ILjAQ$rqDYt8s`Bcf=uyofsSOcxp-s`@(l7zwivInBpSty zO^1XG&PBLbNSr2H52}TpdY}gzc@1x(1Swx4Q{=h?`~5rS8*&;$eHH6M#N5K&jAE@OTO7*Q_YB%jz%X{FIlLU;nWQ!=E`KDRGK4 zEk(hk2*npu9T(x(#AR^t++&=pX-2zxiT?M`u3h4oS~{HU{o!7wlP@;a4433}oJem- zj>m!nPdSneS7QT&%Dzsv2_DX=9uD>0rYH3kKmGBURU3`S#QrSDc^un5w2fk7vva#6t)|Wa=t-&zZR#k z2SGF>^QgSYI@oKZA3URckDTqZTR}1Ov#-fF6e-8oxyBqlN+u$7=$ zg<+rqr#uxmfM61~(&T?lEf>o&RHK9)C?Q(gbocK)@Yco`<{Jt7cAD(po8{#?$Sj+L z@EU)&JSX!^8~s%CqqXs2^QVSRM2Elj)BkF&bEG;Yp|3D^y1sg)tBovzQPD!rGm4Cy z$o4UWBaL&A~u%gKvK~RyIR?|_W6&iwowXE z`q%u(jqlIA7kEL)=_lOu^{I&C@W6MbC=-h7n_k7Y^mT}AnG?M*8~y~s*Yihi^( zjeo+2a}zYF-5&10+247+r~6o* z({Z`C^HOi;<-V>f(&FH`>q>w3*RN{>JvUGGzk`h!m+Oo6%gZ-#1~^fiE>0S!?(5I( zv#&n)JG$E6ePgf>^I?HNrG>#4Jt;6~;%0Gb08Z^8QemnbFl)no41|5ighxch98ZtE zJ2ie~sQ*l7>p*2$eP#xe+QQsjU-|jZ)OT*v+Ob(@`&-6)w^^y~a@IQFqo)~eX%gdP zTbrITQdTflRxn*#xzN_sE#(_bES2+(wQ(cusA|Z;owm$*+_KTwSjWx|oMJDKh2)PX zcfmuEPy_&~C~=D5QxJVg0EBV@zyzKJIVCGF0J#@f2F^tRQe>RMlT-=55l%t$#b&3a z<-6w_q%_1*N~0t^5X6MFHTed7iV-Fu^79mJ$hb(B2!j-IQKu_<@$7_gF_xqb`npDH zss}f3dSSl7d|1=Nhf@7Txv4Wgtis1R-9j(c=x~;&!(?|`M@=PO#BuJ<#StNl{XN@M zbEBu_Mqm52?shy_s9HRn9097_I(_Qg$-(Kaj*;eup}Lx(+UmjDs)3rS{;G1;0FM=A zxU%$>759`Bb{7?N7v^;qaD?J@| zxo%&Eju%BvP7x=H)5S^S)NulpC-b?dT6{Ind@1}2zsTy$6JK9%alvSH`BF#A^^*hl zC&nHi$AUP+Ww$v@uRi4bx zYDkLB3G#C@)YaO*C)(4+SyR#F9X!pfDFUq596Hj;XA59Ih6hsL8b`1QMzS?#$z?*kYyfft2kx-`Gy#RfGa62Eq}haVe#d-A@M25 zPE66p>+`=rq6VsxiW{m_cgeEACN$JYs(A7D_*j}d8R#1yQaiZi?H9%kX5{Vq{ToMB z_8F<}OZWAtONhvHv0`FHXF)bJii?TiKmPbfg{jGH++B|c2Q+4+%{12D80vo+H(r=faf&!moGwlpr;ZaC zvi7V>-;3gGuYN~-3*y@m-;}iQ)OEDy3a}Hx$v1 zG>p8QA_l1i8VcU#~5Os=;h*a zXt$U89{(fzL-o{SkLje?n`L`CR)hz(rp9p#o2U}==;2fPiu09m!|JUKPsNR}L%SSy zzI9~7t54zvED0qG`bv(9;s$~)N`jO)=opoGSWt{aqX;1=J!?{I(c^7I7^w^*zYTeq zROJwyiyF7@b=Ef&%_-8HNBUT)EqrTuvi29L#FDzK;uJCVQN}4e6CWRr1|B86a3uB= z(l3%F1Se5z#@0(k+IWh(VWGax&bGQ5YA=i%@dBsp%Lw+5_x9NR``02JtQyi1XrCYL zZuhn_d+ncof%HAi+r2U-q9r|PtT_K{WA&w$`fIS~-7O4Pf=8RJF8wFNf7DAoy4P*b zZl_)QefAyu{9D!O%ndefIBi+PTGQ^Cr_xo6I(CvD@-@ z+y3s?`+ok$!QZ^0{=3%?{pOzz{NsDqc(ddB(2$I8tt zsx2%VY%H5BESs#X8Z0fVEMB*JEyC4#wz2Nk@W9Ksv7Wfmkdby&^GH%$LUMdkl30oH zF$uAev5{esVF955-u_;$Uaod7_Lg?~+6G%TZhqsJukZfNcC}abA9__q?Uj9de!G3= zZ?|rL9)J7Du(ctSm@bn=;a-YAZ1dy^Y%&v-~8SB9kei(lyjW(D(?Q zCoL)y!;ep&e5b$jMrZT6#_G}H+?KSYqVV7(PnUoA(SN2HHp<@I^YES^t^JYuhhj{$ zl5LE0-0h14y&B>pda}|-$_nu#Wq!(qq5grg68IE3-*|4^aNPOU(T%UkIEB$dGEP}l zb19~!fL7R9&?T~(OXg4jCX$^ORAvYk7IJe+1k8)1`||aH@pNVZTj&w{rhJMtrHsKR#eSYiOicdRtJo`#^{`LHVn+3U7a?{Ue z#LXlGoec46^mfc~GEXqKJ#MQXufKWkMq6)FTd!k0+IW~)x*8hWXdlqq`ICJ=dfVvj zz>&a=8=1xLl{S3T*!{=uk$)MP{E&*U9qya&?tMTYkRDe3PNy z9@j86-zfFKXpNv4jbI+54hKf62W)+9OJ-1JjBQMsSGwMQy<-~3Yz*`xJlrZ$lZGnG zmwP&yQ}DsU?BnxGXvpbZ{T!c`YnM<4q7MY5{4=gQ3|+c?ozYA9echOyobBuGt}d_2 z%KXva{avV|ZK9j4zxMtxUA1@=9O?65FCJ)Z?bc+&bma?R1?3Y9yVx zcqiLXuAM6N8$$O*OY9oUuV?ubX`eC_-um0lqh~(sQ%kOIJdIO`8>CXW2+}ws`F&{$ zszsQY{~2%!al>%W?s!|vN`Lo;$bjN7f1NFF`dVA4zWM5Fzxt)UzK*+z5zZTFeqObS zF(-?15CdQ9Y`WIja;3BRdRObMzIKK=a-8hrp^<(#>uOHod{XActkOGWbq^X^AGNXS zKWHesTamSrl`tnlhexBAYmu8vsmo8*e`4!%%)!sx+}&`u;g(mlf4$lMt?kZR-!Ogs z7b^eos>&~ayz9rF^`4avD?2~!Bxo%B`@*IF=hBV;b>sT~ydHcun4m$9dcLdeWpU$$ z5kvU~va&m;2Az+Y)Ya5|`thfqee&7Ik3Rn3{s)APmF1QBiTUHn$2a`)O_g8o-u3J4 zT01pN4;dM%>K%Mj#bmQyn7Uv5k+8(05#9%!5AV|;Zk+JXByJQ&6+VqBJ(`v1e>^q7(D@sf&`R?%Pzh2Xz1!b)wX=Dtq3UEo zPJMEGcCerKF+)cagKXMV_0{8zHPS5g3S2GA{oU##13OdWM+$SM>na!9o0#%*Z)W1w z%;c%sYINq$#to=g>Kot`@{P4|Lsn|7#tmKW$fE1SNFSgfY8R42ATfbsq+C=C2pZ6o z>~RW@*jWgx3IkmPV7!wm2cd(y0p$WoFDgb4fY8?$Hz;l}3+dQexbdxzpNmtd^pYu& z(y#z5$iUFp%c2wtxg<`jGgibzY+S!UG|0dl9j$dV*1@L`H}>vIbhN1s@-Fvt$#b_) zv@^3--SyUQexbE%hl!@Tr zZ8;%>xmTx;XPq|*35(dG7JTq0T0i+uKlx9=jlq4N_f7xXG(qFN z|M$I*|M$n%J=R>JC(BDNbhp0@8p@ck&I3XRu+CEVsH&=}i?d5ea7a}})!D_fS1(@8 z&dC1pkAA%4&FxM`&IM70MN!49g7CtU_|jr>)1aBbr_oW@!Pw%^9f^)n#yMLO(p%3j<4K|mB+u9IVDT{oqjqu%B>i{hec zqk?lSbPA0Qml|sn8y!wLs^Y(U>v7e6sQRgI813Ja=xo~%=3f`&S?FrTEY6r?I)27S zEi~1C`?G&=Ic6N>WEbb@o)sQ~H^Nv=#Y$h-J?dRErynoOe7rpW;nLh6=s37>m4uU- z_1hfZK5_TN)W1$G{rfVB!Oj19^X$K$9sTFgu8+HFKd3FfQ(Sbrct^kv4Sh8OJFWkw z{$srqeV2SUpGv==&d{*_aJPC-n{pfXHm{KW@W8ep-B`WzP8R!tS_0`Qj`&_KIA2T;Fo9vtFtLY!_96!~3YGz<| ztbL5-Y3u3b;ALlG6zk_xlbJEr+;H*K(EWwEN5ES|UxHP+A|7vC{nM@MpECnx^dxlr z`Hq&MlEUhg#AH9O9lw9wXy5kAgs41M>oPa1mSFdRq==F1)S0q^bJW%-z2g1^Yjg4J zqm}bh?X6*3HhoRpaN70uv-t)v#9l{R)I~+UVZr<-AAVW$jINJvOaAX5Mlf0npGnPP3+fNuqi z*c{OUe^KAyZzgWo>uFmYKEzA(wNc_AnH|kDR4+0VI=V#d{l2@l(081#ejsMoHY1gNsUA+vv7t@jzQrE4C+v(7Z-krb zBzZd7969{=Kl;!72cumbvx5Ap6Jq;vGnX1`-Wlws#o+$QULJ2#-RkS$R`OtE;Dh0T zOYKb#=6X6gM+g6T@bmxu`4|7+7q|Y`tuz1Q%&9LQ3hbGeocmTw(? zy&yW0Lg@9uUM~KZHI1hN!a9FM!L``dI`b0pyNV$!`a80^>Jl3A{0d@i;{8nhx4g1BaKDGa5&g-NlS|`Em(N_DAD$;} zERUa^=$@G9nsBprbF*+Y7O=%M$=jnMA-Xp&YpSMvrM-zsZNL**!a>S`SoC^#>xEWn zK5Xs@TqyIyf>gI{QG4_C%9OY)7t10S^QK_;Q>oEsinGtxS6=IBy$$D%xg26tc)cqt zv)vtEpKlO1$TyUHii}efal=5D3V?^Hp_>UM4nf5NPoPnV9#F0nH`c@rsh#9YaYHo0 zv3NhfNaT60|Hkv`8#W?t*eK%0x5g%Ge{n5NK>+XKV#8#4S64fLNkD*CV4yHfr6{>FlY~N*z-#?r+mQNoSD;5Zw$pCblmCdy3yOd+*E%e$nWPW zKRb}15_B%8_0!g||2~#{Emh-$#w+T-J?5lu>uLJR!C$Hc9yACtv`=#gtP4&YP9{o} ztdv*Zs%^aA((-P5+r5sqyB&=;TC303ofysf>EWNM?ARXZ?uuprc=ECky3P>s+_wQ$ zAY`qNnU4*Q_qFyFW)`XKP#ek_UT9c4-*s_i@bb#=-R6rT)Z%SakOo8pl;B?*xp*t z%3NE^$I2qv*Q+KuZnU)UVtXUH;&&LKGSGF4Nw58#_mHB^p1wSCa=N{>v%IAIc$$vN z-e_OXqKJTWJL3{p%hphj(e&7*vb;+zHR296ehO=1X3LVaJic~$w!3RRapQS7#TOa{ zkOEP{V`QWPs>ppPj3rXG#!EOQP7+l{Qv?8~Ahv`<0ihtgL=1-Li%*dJzG5Lt)-v=5|)(MW7OJ3W1TaYlpXQQ{TQ_bN4PJ7P_h`JZkRQb#VJumCbMM zdu!vqO&j*Vwduf?w|Pc==XTa1W@~QUyl3NvEw8`2;n%;~`MY0H1oJl0cTm}m6_V?o z%`Uq)TW;T=`^GCa8{c%^ywU9UukGLb7Cdr8Li9j-Y)gb+k-N zy?cB1&gD~A7TcG{%O_eB+p|1!!c8OHG(Glh-5YSg-OJspr=$15-3P2k_aFW7@gMJ9 zyMOci&FTJ`lTE|zMIANiwPu>f%(cuyJ>AQWr%klC+?t*K2#*CCRT#d*%$?ge9-Nsu z*IYkUUNn%CQOf}OAU{l^3|01)CPZa8nio2ow}*O-rN_<{WnFIm|Ji#B@HWykU3Bi* zyXVgA?(8&8L(*Yp2HUaYfMbYbhM1WlW@ct)S(ZTt*_KfTnPiZe9kZP{agq)+PWR64 z(C)eKUuCO9J)Q2ERre^q_|`+o3#=@7vtb^`=i*o89uTSEg)MFp>7 zH(taW014*)_Mh6n_xPUOhj(s2ux-n}&7Z!e>HaO74s6|mv4889y_-JWx#5#7AAPvt zy?1wf@UFb1gp$OWEBm&~?b@QSdyD+8Ez;XJp8EK=7eCz~zvWZOPd?heZHtbATsq2= z!~6@q98<0IB6P0#s$2{))Tn0VWX47B-SCmKl|_iVTV7HEuP}eGv2LoRd8tQ$YquhV zXnET$K5wq76pIL&O;^W9F#~+$LS)n&uWFhpYFjGPkCc}nUwW{NjaM)i40;2LDV_`17E;zt+O@nbV0m8g11vAt-UjuZ zj^>WWvZhNFw;%8yKI!@5tKo0Hp7`AtQ(rxq{QSY#!#e}33mr=nwNu?JApl9dWrB;6 zo36ON+*x_0{c`rw7HWqTCq^c^1YO^L^X<1^e|zuNy~UeLJxx7rHSI--Y;Il^BbK43 zsb_lK_~5>MyyV!yLMA$oPgk%(bx8~I$`TZ_%(OQ3)mHNgSxEhg5A+Xkc0BOuh9rN_ zU_;FiErlFw174teS3<-XD+|0By4JuOD4cvWHhgzvu!a3fc;m9e2jK(P_37~7YY1PyYV#L_<7q;*QX#a z1vS5*8|Tl9pFMj*OzhOTb7!Tb#4)geKTn-He&WQDW5*61J$euW8=`UK$bka~_U_)j zW6P%-w!i<5jQClI2VUB!(@WbS%PEeZR5n@Bw$d^9XmsX_g{7}nR=|t;oG@?`)cZ&hl7uA zx8E5rpKMHM&T!1~R`=DHFw~URI=@rGPti^O;N@$Fc}>F1T`Wbb9HZ?CPs zZ^K84ex6~*+94Y9X=XZHA16UvSZ{U;Zn=ee?ovwwG)vJL@XqkSE82~h@f1^<$C`Vm%l3Z^?e;Z z;wgVmo`U3RHPW>WzS-xl^6UFk{3FRRs)* z{k!C)B^`_n{2lBP{Co=HqoDxVUsXN_hjx$PZeKT2dQf+OU<$7w?-ThCw_Mt|W&1{P zdocywOJF6}ZB&3UXp3R&ecjYg&&Wr6$CWK7Er{LF_11UI^Ga#YsGn{eTpgKvv~>IP z)z3cv92R5s@uQ);W7&O7jZn=UYCGRi-~2z7Kl$L@chqGrAwe2zC5DfsIr2r}^g!CP zn~9N!sWB3#-A#>=Sm1V)n=77UY8pPmAj$ap0O56kPD$^U=t8B+nY=4EdB!8;%9 z+SHNsdFrI0nhGzEFDZnrAhJ%}h>7GwMjP`U5G|&iCmrFCf zUg7D`j{Yf`iBOB0tL7{>^U$N`UO)8Adz%YcKZ&OR#v{+1O6!u+S>q{k@PC4>pmHBr zf)t^gUxOToIKVqfe5dQ;q5vUC-oV!pMp-8*&-811t_i|R@rJb~nk5mB1W?M~!c%CH zLbqN*Oayr8jopOAZfxI<>sy)-+t1GH$V{vV@n*V^rqc0t#`*D4vd0c>+xRhDTaLOq zVRqK(o^EAPq5Sm3z5>Q12PN}}s<3A(N&q%kLB2RZOi+Y-aT>t zh_0iG31uxPa{i!V*KDl`2)CU{hIl6Dp|J?bH8{|LTwDIF# zN&Ir(_HFNo{d%9}zN35hY~S^%mfRIXUK^>NW#Q=GEYdYv#3-bUZhLWGn zQc7YzjLYGr@<9wSE%Uwmk6Wo)j}(vnZt%N5P5lMqKgRy}RnIrKYE}d(T`boEKed4C zXLJ=L6*NyNN9qPe>xD#K4-C`r3sLb7RP^wbbMd&~;C$ZN-`Q7QLOj9MsVOaKn9V|l z&n&kD0t-_dHs}dn;S?P&BN{$qCHaEvv~uKq`FMoeS$P`kJ7{a{{P6t{C;Lz{JwKHz zNyb_n5Bsi|pwY~v@%$XPRc}^T+yYerQ||5I=jZ(dyFu#ciQT{>5Bq?8QP>2yEKnH= z2L-luu3UnxfO7+E1!M$%3}lDGeSt?314e^(fZ*#GFNR%S><{~*-9Rvf*bP;5Wd-q( z|9RX*^evDSpci;<0f&%|@+R%Zft}lpH8o0;69zbJVO~~UOmM!3U7Dp{teH-6VpLp! z@4+pfLK4A5UB%f@FVx;P)6c6ijzrS?kuO?SgrWmdrc_zdk(IjFY_|o=*ecJ=l4)v| zWn>VqE9-lCht{?O=l2@>>Dok^+Qyn=Xn86B>!p7^Yb0^aUd1uhDWxHUKhZvOdwF&7 z^X27-1Gh$;id{dr_Q}z74fP3@*-ESBz5eSf!b?+io0=nkvHutU_vU~2RDScnO1`sA zX*=x33Cok0{4Q(f>sU2fU9Y%~ap>B$e|&%IKfb&9pFZ5P^`PW+1v%7S6-P(V8R>6q zZvQ*~5EAw<8+-O27Wd6n&FeLl4E^~}Lx1^Q z*S{@SthB~LeltzZdxjMNT>M5O< z{LQa^`Qg9*lg#0L3GR*o+Dg%Ssw_wI`an-%a`aSQ7E!0KC-Xj8LdT zm!*KkK)>Q;B<1zSQwZLG6;f9Pbb$*Ob-QoumIK~6xMPRubuDg28hU0SwNH={UmoO< zWp5mBrkxk;of{i12 z=HX@0wF3=P3-il!pY_cRYld9^jqaAqd@qOPs))}=lfS(i^Sk@bPv$jm_w1W0`-RT- zE%IAoG3c@V+KvPIhs2#F)RNT=%8k7Hyf;h8{?mpdzutcK*Sn*Aslb8}per5jpMchRhc_(W5@)0ThWx2l4t~Y!} z4P=DM z04BXjyK!LWPE(!h)r_p04Yg>n)ti^m5FMEBYLjTLonUKJ7$0e&t#)?*UOjnPb5-RV zI$B5o%W!ut3k_;Wi0;Tt87(4h=uxFI!e*VQSBeTWB6PZAcK&H+$Z-qpLIp`WLq*^`BOKR4QWLS?BtJp*ec!X>DJIYw;%js&!*x9;At(^%FeW1Cqla{)Ml(^0{g`Bu36BUKypMDf^!@P>*LlQ5!jO$JIdV+pr{v&w`S`bl^#(tp^okA$EfrM57pCTo?`bJ>XbCpcW4=2*_Wt8_2RC zAJ9;Pd7;EN{$4zV=>L%ZDNfeFNN>_^9NM+hOi!meH)opPfWni3LPlG1WQD&=wyj~T ziDsIsZKR9co(&%=T#$w|y|aZ`h`VcAXmCYlx}dChqM>e%->}GUn1OmvXv@#bgvIIH%M`vtY;Y6Uv&5U;j@uH|9j6L|5W>jKO}wk$mX-rtFuj?B&dHZ z_OZxrU}KlsZb>&Oxo`#b6!pzw^6&3g`1pj*CMmmZ7jA65;pGWU~Q#&*pRn}w}kZ|I}S(bf4 zgI{y1NrsPxpQfDFnY|~2jeIj*lkD;X?itz6sTmH5v8G|_VoIm?os>Qi*NFe+hRGRT5N&PAs;}mrku{deTDIr0AHyj*IjQ;t5 z{101gwIm-`UvtC#AHR2K!*64}-BLVViu^qVDRBcCiNo2cqXmpPl*K^|zpBb&1iyk=7+f?L5-GTwU$)+|l)4@DkAV@`$Z~u;2qADPS}t$U-E)Ou$V*SU^wc zPzyefq7?*3@bEsH?j>rK@>=q~V1?-X5a102QxG7A5ra*8lXm0auALSJdi4dY#m-h3 za5R_i%uJ{Z^2)tomSCb0s;83dX76ofw(jHUTqhprioS z2F)_i7h|DYaKL!46W`Id-K%(|5JqF*n_gl%9`Y*hmgUdoCJ)7X);WKC?IV{$N7ph3 z(=?-FhKD}9_MvQ$yndwNe&vI51$qD*9!mw~UkgY6cz^8A-}U_I_f`M#UEJ?htse}k zEHoV}G=I)+0CF5LJu2aH4t8V1DZP!S4L3jXw!L-*4W_q#@a~QcA0t~J-qUTgv~VCNZ7?@u29N`q*o}3lRQjQM*r)9V$=^77 z_&)|)p$5URg8>CVm97=SFU-t&_Z|vG>ZlGUZ zRDh>`p}iRm3kLJETjE1YyzDcqbtCnZVlDL31H7&rKeYYR4R(eGu9jxu?yiie@apVz zA*W=5nEkrt=6ZDT0|bq8wwDfBr zPUQpyYLVT6+_1NJLu5B>1EW2vhn#9B!@5@TZ+=m?_*MJj<04+Wp1F;prq0EyDtiwc z+_hz=^a&}Wt9mhx;SB$b;)wjp_)>0sSw&27Nm#z=HAAsuXZ@_bGW=55vH9hxCFQB5 zB?*O$;LH^NgbU(Q{uZY2q7SiI;3;#cU_whBsvGQ5EqeKJx(f5kQW8-97VhS9_VB@- z@BPL}Ps>?D(NyB7!-dms3K!g!Wc_s166`FliHnIJJ(BM2F;$X3&dQnP6p>!l_0_d$ z$**KLp6R=EQdtyIp|}Q$zhE@rCBQ)8JZ(K-Pf%iyJW?1Cq()%CT;NYw48(0gPo6az zunga)d#!f0l9ANI$UqQwwxW2R zTY_fvlbm8B)vJ<064tervBQzL2~2M zJZ0;(?c0@i{EOtTKfL1N{?p4iMs;xi-wlgQaEFvVs+dabG8h6yut(%;U^}P%<%_L7) zh#$Uj@wA_Ubcm{Kl(ur3scxaCV@-HaTWUPIgY{*mOcdu65yFOAURuhl*o~+9JnBG0 z4?KkqsUUWV%q~24;3;4nPz9%6o$x2f3*JYx1g3UvBv2X1OiDk^0R$`+ohd)Vmw^e)tb3 z{)dtBHKdQWoSN+P{OE`ncNdgaN$lMjXk%`#AZ;pk$oAY(*Gp&ouAUE7my6d` zXIL4sovh0}o$7+UTVlievQnms^U+~pj#tA=dnKMCzHiTE(&v%t-nic95$;V0=o!e# z0zXiAgLD#EABu>QQ1F8w5YTgM17P5Pkxx!(R;VBVx*kJ$3D_VHHH|mc{H1>%oKf5VDtisQUX=@m7pd73& z8)t8ppAaiAepcn86ml|SU7d;|!`gB)N6L!O2m_+`lgR!;P7cZNDZ5!wk{9InFXw)B zCH!)*(4V!CQ?$q`T*!mnz$lnyFeWpyC(^U0(qTiwc_A*YE-&7$^gBMjKD!imX=-c! z8}JV+U%G(F#FS!UwY9X)pOEzN@%f>v;OgR9oKnIp2N@Q^;UO}w~fs9P2U<{x;wkFJh3>)?<+|z%#F{M6cdYac5F;b8i5B4zEC7@ z)RaSx7+u>i#yITWA|`}=@}L%EZ*yqd7GFzaCly&^@xvBk2OXr2d0Y_-x^^*2OEFPj zBhy@;Wp7dL>D&Jt5JFVHh9?3MM!yJ-v|aT<%UTKR3VWYC(3Ae+w<15^m$uPKUna)J zm`qG5CKl6sc<&)hH6|R>j>&)Sa^M0PYUuaZ_ViYD+2~s(ddKluyq-$IAg^ztV{Cq4 zW_f&Zacq99bA(q=lOB<5VPvkWAXgC^DP-l2Vr4<5XhjKHalyGk@~0OzM>B*@L!{M_ewP@RK_iu6iY2M=8}%E6c(#-_f#+*o^=|Vsw8_ zIzsTASno@KtA+!n+wtY ziQU+<+seeaxuSe^YV5)I=)FPVN=M6dHK(7M-W(IecC*f~(2mkkOuu2#R#|3esHY(- z<85!792{81%;~SKniaIePyTp}Xv{vI93_@#bO=?_EB!)9Jf#K)%Erjbx?NU|y%yRN z#G1~A(SUa}ZCi}TWljc!{_c&{0Vk!@e38pU#P16%Ow>J6}(fDU1aKCkFZbyn@=auw)ZG zBU!0)HCgE+bybUkHYzi)8x2735B056m4TJMo~gEGVRdC)UT$hgpw#ihNYyaEC}t*h zh=7etr~MVA!c=79w3Snhv{|;M748nze(sIo0iDUQ!kn~G;0-jMYv%E?Ude6{ym9OZ zN#3CG1{%#%?NN|pNu_WQKPO=#YhZ&w3-}H2R8oWV^+3>s8Z^>SMfw)Nh6r6y+XQ~B z2Te!-<+&mHFJKky7L*4OnV)7ip2Ie1BciH|oq(jEG8ZadqD%a`H)y+I3A@3qxPNov z;pF)J!M@vqHrS26yv+8*@N%CUIo5iyde_o!ShiP|IT-4xNQyb@XhzuE#N z=iDqUoU15C4iBy-lvNNc8z;SkNxuxNEXwjyhSi2vEVHooK)5n;Z)VXjqjb3lPLJwU zuB+cmNJ?oA+x!>GxQ(?wRIs$M{ICD|uXcW${4dX8WsHrDML8SlUjIrG7pw%Z2R+_e zjOXqmObaj=rV*2gDaFKMdabRj$yEPB71NH%f6;Dudw5N>kB&4Cbd|M%umE`U*Yph3 z2}fIoM%#w_cs;eus?_i#xy!PsJ*-NM>t->~UVNsq3~Av&8i=()ix^cZK&Nn|ql|hW zKf5_4p)4X89S}bG*I&CE>3Hd=T1%gT-SE6}Hb_xAQte8jj!K5f^*md%GB^9$0MF*g zAYod<2yQtP;5XLJwKUderN0W^pzVetWjB=I-#Ci~j7qw&1O zAPj{fDQj`n?`?(1GGOC5qk%u4`d^XVP!KVWpRobh184+qEl3L7yvR#=?n1x5KVdfx z?%8c=Y}~@FcsM=v`OMVgv7!4tf(2gHP+@LcVnn5{bDpgsWFE6zZ3I>24u<-AN>{_Z zJPMN&n^C3CtDSA*-|B8BDjXdxi%qrD+%n|$fUO{-Z?3v*y0T=TC~vRwR@+8vE0$?s zn_tF6a=}6#UNV01|I)!q2!jFlm@N6-7nSlwx|76_qg6m~c!xCLjOx+&@!8lj)ubxIl** z`hYZGG{~s!8K@TuYr874%Iu77jvY7{VrR|IN*h9_;EEEsywHXoJ($p#9+}|_4Yf=B z2GWJRs~xoo7_;sC9&SZtPL`#v_O^F^?PFo&udD8O>8#5I1XH9URIeoHs$`k!Fl|lQ zE_O8mo-Hw9h^Gt}FrXQ=)ZDPx&gbQ1zKY!t@f0u=(O9oiv7kaK-$zLxK}@G|(Zqye zC4`&&aM0w%c*=_;1@CNWnVIMAQqiAS6BW$mq~s-+mzV$ZKmXI;-``A41*P%eATN@X zpEn8r2Yw4v7vba(5DVe(`*Rz(KrVL9^MkWDyC=rmhQU^7(*e{W z;Isxg1)My5)KFQ8mz_RXQHFvAMCBkO3HtyyJLKR{yLzj$ZK<8Vg!fw;7n|$mYO7|d z%25x6=AoRZ&KvWBm{V4ezVcf!Cy?)MXQ|tK^vLmpNHic{}sN{DsLe z!p!7;=#*BLE%12@?fiz^>{rP%U&k9KmC(6hZ4j!03KXPrAuEFpsnFpPO5lsQK%c@J zs&w>(LK;5@Y&?fIfMM_}a810T-JnX?p0OK1FE~89d>$n;DDqV}ed3MX$s)S}p0YYM z@o;Ja&pX5oZq`%`7c$xtBPx8HikvMfBLju?Ri#gJmp&=_>Sv2MPh2Fmsb&?BS*XbOOefnHrmCoYSQ*sZ@kbv`+CAeaiy<~Ba8YyYCP z=c_LMtww!AeL&^s?p69vV^ibE)=<|>>TT@>+UFP>8j|c&aG5aP}Nmb zD2<6kclg1Q!ZE-M2qi&;76QW6<-i*=Tn^gPLvLphQeBNTxKB`+aI39(0p$rzb;9zJ zy6g-_cu10;xBPj@jlce9Z)4p!E8{Q?g+y(|EMqN}wNWKVimw}jDc#AjBh1VxWP{XI zEw?r;3R)YPIj>UJwq`fZ5WAsF?8a%bLHa96h+G_0s}w~ln6ef+d98K>E);lFeg-|E zzXJ3ET!efQxOU;=1xYb9)c#v;iJ$b{HJ)N(#Hailk6=1R2JZEA;3|(6G zoGkdc>22Kd%ZK+upU~AnH`&9bHYpmV^r(gg6G4a#_JOD?R1&v2saN8#VLA|Wo5i4R z9(4VyjE_EOwl`-b$Ft2nOc>0*U4U%Tfp7Z9{%tgd73JsW_nhg#pW)%*rs2l;unEWT z;QpP^;eil0au2XvzGWys=|aEwVN4*V5tDgUN;1wbsyeH}%fSN^{^C`@rO8ar`0Un$ zyR&x|M(6sQg!RnoYDQ&GLzk7YMVO-l1lIc41t^NViECX`ISYzfTRB@(flH4u2X!y1 zA3a%6syMh|5QjxM!)O^PZG&_JFFBqW=!5JpPeVP0Gbgvc^Dp5yY+|g8a-6L>{vM@X z&TxwI0=&?$p({CVkeLPb2DI;i_kOvny@8qYDtH4t<@$9MJX{tQ`WSf7Xtn~OZ%7a$ z`wN*}h;AV1iw>z!k(9Ln%In}M*e8AhA(g+5H>em2ishd{8q}Yp6Ex5f`anSv1YiTY zB4}{%;#Gc4?@)MS5AlmuR6Mvj`DAMRZod$^uSm)0VWc!f`IorcG9AsDb2F>*7>BoP za5K{PvoKBb@u-8yC)ystI3S|YPy^n&)Y`Dr)&x}q@K!`vP&9pSuorE|AqBZY!_6hPo6xfuC7+mRJoDm z7~UUZR%!C_l@CzA*_xa6wiWE(_fCM16ha*5#l#W=;{hI`10prl)G_(E1h^2m9O~-o zzQ1GK2hMogBPO4Ai70 zH@@?0>0MjU`o~&HE-y5oBrKpZ)L)pEIFO#ymyy(;mo>#Ho~f?^4}ykR^DFdEK_3Jf zZy=b0409E+(|9F#gX(^Q8hK=KP@P29q(omoPl1ng%{BVtcH<>RN_#Wvo%^zrcwxS57t36G6FxJuip4mz@->w{^{Yp->;T5m3T#YIfdN_uw|m2sLLy$`)T!jqgp$<4_Ucwf3d7ISHzY8)hFkO1lP|yf`rFU%KUthu z=xz|OQwtLP;-Z5hPaZjv;^PVLG)jIzd1i4lK!y=r{E&`?KatD{$U#F*&{g~i?`T5} zf*`n0aJSrUYsT_4SXl-km%{j%)S!SUcULb<^J9B=8z{(xTN(vlSF*S$?xc9dTU#Z- z@H#&wVT6$}!ODW9?P6W!N^8?SVdw4Mp5}b!tLXN?Zpa)va_tOdD=5=Jyc{qfq@W&D zMH(W3l#7Gv>WFCU_i@I#?++)+cN zh3eNXNrg%|G~3zpY{G^@0Xzg>cMN|wH1ge$;44Ady|T#BaA&^LwM50;(pykTfg2l0 zWXScI)`sfE#F(ZdvAGl>E=RzYj1UaWmaTbc5H^3 zk?Dogr%IwDp!_^u0h>?>i?Kdvqk(t0^L4~}VC?`aK?ObT3TT**BhErbKB9Gl1-WhM ziM+%Zrk`hojfJ~`uBV~y=J((8u`>17*YLY`F;QP7-_E$s>qc{^Pe)vMe@5a|VJ=B8 zg!o2V^S!?ATYbXjf>+WPrFjY}Q!FhFtgH;7`wgl>7pl;`>G1&4RD~`)c{-#b;wi6? z>m`bq{75{7e((`ec`4plOYvGSmVc3_fTREvp)3VR2@U2HPMyGzKlx^P3hGmgjbJxc z$46I325)tD%r#VxvU59N6uk_iWq{;^Cee5n7d-Bdn>K>S((+ zI`sG^$ufN~K8&2P&xq3OFqCEqNf{7AwHTZQ9m(NQ2U5KSVK;cxvCzOF#;Z;B^VzGn zQ?E+Tyu#F{%PV~@C3Pk#V=gUcIXikH(yGy7x6w`mrK{+A03iKV8uT?;4AE{uSYVl< z?JRd$CM`OpuB4``Q2^}GQrXm2%`Z+bObUpP4vvx(7e{3=T+_%@pQ^5$1wCo3TWG8Y z)Ld-lE%6(dTAG%j#D!oA%~Q~lUf6kmuop^SbY;o|tW8vM;9O7VP!q3%TTz~qkr5Rh z>EreP{KNlseA6d4G_D2cs)VXs&M;Chu{Y%hxb?&Y52nTrGtwv6dGL@dHWHCQMC zd!x+vhM<_$QNz7*>ez{Wd))MOqwQ^2{@%RA=&tOP?wqu-Lgr#+$zo;kTm}0kl#0vQ zs2xBr75GJ`xutN2qI3nWb)eRHKv@Dj(AK09ixkvl(HPW5NArN$K3jn;dcVd_M&+*L zIQBXowK=39bFMHl3@aKEKHqjR5IxP-XxDmAJQ;{eQnzYBO^23Hv#QP2~3N2@qU=>gbStSVio zESUv?EiD)?$?r%{LQf5VjdZkvwXt+J(Ao0dJKmNiZkmd&@{%E{GHHftEIT8vms4wG zU~g*NFf(h0!$x}fY6t&8U(e&w;X5OP{8#IrLU_t?!c$ZzqXD}C145_@5=Tk*d5WsY z5{+WSMv)W&20<0CAr$+)-Johx=%lY7rz)@#Puq=WjfTi>DE|ze0<41e@gOO1=OR@e z%^VR)p~f5KDabPi-hka$MXos7uJs6}Yb%7zjK-Kij+aACr2j~BeVnhyrVrk6Ha87& zcFK$h_S8^s>8W*}c(B2oW)CWVopAGc^UED?j7(fNT0o-JvrUGF&Qu0<=3eN^m zD`~P*Q!$NuiK4?WASg!bFD?kOwU#tEF5!GeO5?bvnF-P}ghlx%ReNhOUaO&a>CS2E z0gMM7cnvvO9ZgLonafaFggUFRhy^o_O9o!EZZ0UJvCdO)S#eF_-@sL#t)>P{2Qt0@ zMIbTS*|sVaJOB_y4OCCZOk2}%eQk3Qt28YoBP=xB!*%-y?}j+rJ1AbZyKpK<iCLk$9bJ*GlNnZ%5 zh-&JQa`{|T<*C#!x*&zrr2G`B0?zVGSqh%_rw5h#<@z$b@nZDk>34__F@TEURXI`v zdrl19k$^Pd6n(>f(SsCEX)G_hgSwJ|UL<`j@v24(b6R48i#_a00^9_pMUDnK=x1%E zq3UjI5Oc$x9TwP}k=S3513~+l$`a(3k8s#?b(JtU6DVAQ#X#rZjusTxgQTo<3!ooM zk(3tNgG#*aP#1(gB!Refz`9txz(lZ$;kLvp?o5OTaRa~f#(w+e8<+u1J}v<+gy^Es zC4|pkl!jV}VBjJWmpCqKOC!nbYHMC@2Ty6my#!lhekG_SB<#sHBh0 z>|$o3M>$H{lH8rcZ7l=LjduO^y-*i>N5xAH7f%N&!)|D@Z&+}ATpFW-1sTcc^@bG( zX=8V~1<=8M3?KgVWP5qptJn<@-T=~2lQ@e(s0x+ELG_fUWQs`w*cH;Tk!sMkjyFg% z`e!OrUMF zW6(cA;;?J}4bB6oXAJi*ceKvbln=2o+v0-Cz3mH~EvgeDqdlBAfB3$=?sXq4^F%L? zvV@qnyc{Y`v>NvV(*F>K!g1#cp0E{(mLNgSq6lg7;QjPNVwd4&7 zauBM5ND8ld3BiqILJXJ01=7J)RhSJbm-t&B<0y>8slf5EGaS zbr2Jnj_;|;_X%JSGbgnw>snrGrsJuiwpS0S(01bojK&&I!Tx_LPXS_q&lZA0kUvH8 z3A)cCjACG*WoV>rWPJVg-3ia_S!6e$zX6`|+3>(>cRL70KQp5_DxlQemSJnijR|Qg zE2+3W%nq@xkPFph+RG=1kr@eWlrD3*?igs@Ckr8Vjb4!?U-a=+1oZAph=vlB1=@-+j;jd+$(mfu7wU!4!(2z_Wp9?3#-M zcM|;Z0O=`brABi&za=X@yZ?XZP*4GtzT0&@zxb zf$BDIr3=w|D!C5k+yIZ3#K@l9j4^azX{f#3*?w=R@5#-HuNLMW&dsz}a2)pRh?O`U zbmq9q&TaC0w|PpPfSCDndge4w0WpC?z}6NmQHahk6;(mG%J=eLcofykSUmdxi(WQ;?s72-*-Ut1&8|$jvI#Qm-N=sI8JCdGs*+LT-k-Q4Y5GL4I|K zF`bMIs3<^{1tHh5(tKn9jzcZ5p1V+6fjTBwkLC8}TipT_DWEoi@RT+_5G-&k*e-|+ zobZIFP(b%}pYOBx`PwQ;ze!-vQ-l8Vbsx|FKKoziDJ1C&2|WA;(JZlz4Vnz}_vJj&IrMY@+9&Drb91+)Gh9 z?7CvQjbX8mb8U1;TNWykictB2J8E^f|MAUcZvNtyLcXCJ#3+86SFIqO$+Pv5!Snlm!MJ?NKKlb_{>=z8AXrTebmg z{+6%*cl)mBGCi|F`9;@~zL1!Q;4CS9<-whW_2M?1=Uwf~-5q!OyB`ep-5(j4>1tnu zKN*_&T3EqpdV*Uqhc6}<5hyMbPf6jhHzTBs@`=KGU)3SbXiIFFhX_;r!%Wkiz{LoH*I>!xP9Z|rW7{P@Eo z`}W$HnIM1T-q;9aRql4RPgQY*xoOSOffZi%`3@$v@nIcR71GC#z+Ysqr55I^?;ESI8h zk;5ZD@ywp_L!v=`QS<>=Ncwoz9;>Ui~nr_$wUBMo#bt_t(($V!6meHzO7aEgu&!)|+4u8XD^9^r zJWWG>Y@15kP$6j>s7X;0KSS4~5WI2fIKT$pc{8^GenoNfMzLc@5en|8t%2QmFg$Qy zC;-bC%*$+w4k(1!mz7>cbZ|#ix%9Eab|!{C4t8;Yeub&YZJd&^mS*IMk(T0U*f&1% z#oWv{^V46?Pk%8z`NhqNM@R-69=IdyL?tyiDZ(q@DZsAC3!;K4JUW>2_uwhdL4`Wt zoVA^U4Xq6Vp~>%JK(_&dY&ViOTN(ka@w!M4lDR|$1*k)P@xPYo&+{9>wy2$8Z#;^5 zQLiNTiR_&GFO>&HK93#p=~r?LYXfgmgWd_*1N9Xgun7G8oQ*dOZz!BbND3GV;wDJ< z>IC5IM7I=lpI_2EL=X1<)6{QTy`gR!Ao{k@ZI z{Eo_UPF9AqrPgW&wr8jOd8vfZ z(9!m`hg0K_n_lTeJf&hdKdU1#tkT<&VP{kk6Wm@^E_LiM%8EUV^r9SWSiycxsquZe z89h1aeR)~4uOkF{ zDJQS%cm5BqZci=ZFCYrym&2+DgaF4rJ&-hl4X`9Eg(MjXmmhr5Qqpc zgE((F$TPt!e0pTiU%|JqhC;}cItwr_>|_yD;glQ=y8j>(iByQAxDTNs#0y9|J*qRf zm`0S7<6HPV{ayOk@j3-{BUJSw9j>MV5;Rz#F~&K?NRwNrt3>rEaVDb<9o3`UGQ3G% zQD}^8?TS+3ZN{NOsen3QloldZMa~+KiTEMOxr+c2g?|8nD1fvMX+%>knqkp9*bu$> z(v5XwMScRoFZ>*E8UQMe7G)z4Yg(u-U#cm;Rad!OS9zPqy#s$&Rq04!?if1{qHyCS zxKA>m^fkt2;uQ!4at%lw6lA4xB11B~+@M>laPI8sZJ);bxCQB}2WcyUs#FBHHYY{) z7v@ekRAVJVHN@w0(_h_MdbG44;BsFDZ-{sbYz1vJXcxyb0ok?6dT}ISQhLRtVg(o* zY-PQm{->#Myv%5zoNY~c14ct%R^}CX3e|Vs9XaqwhDR4UKqF%WPz<#&5J@pM(J_G@ zk%fWi*?HX?&WHA?%U)q5Ce8?ZU^mc93%~$Dc8H61B!pM_-eB6B)Wn6hbIYZU9iFh)e_G+-rmk5%9n~^+f=N+bHwI$54<(Hk!#sTQdp(@ro}( zAQ#~ze4WM&_}xXQ$~5t2cr_S^0+2mZ2ZMc5@S}lznBQ<4u46cgu|f7m$^$WQ40var zPjaQ8j)j8pI~^@|J6l%;EvubvcRKiZ^R9q;Q_za-JZgJwKGzNP3p2m&l&UpzftA6Kkwd;BM0p6D-Wjn5ZdV?rgn3G6-roWYWtczoQXkc?@%5W)> zgTvyBbr#v7i*?8=E+^Hmq+iKxGPaz;N53GKKiqDRw{}BKmo!Z{U}l4sm^8 z59_}^bI|U8|>V5KGB*mTfvEUq` zg?J0`!G`Lk##(ILre0U@IPma!lFfEl9* zQ6WDQh@y&vWIW&*3{31~WeH#s2L6jgHhFLvRUtuwz$(f(<9G4v>vKZE58{L&2!Wch zbuSIE+iRwK4RX+POC}k||NWq^1&loXF|uc%IDELF2D_aG>L7_Xh`(1L>klE<6@L94 zJ`bsYIA2WQttNo6s@qMqcm*Z_GMTI9pcTScc@Z>Q0KIU}^cCebrKe>0`^33BAK1Jx zz|l6qT-RIeN}P#CfvXiSGN6~4hM)|}ULK6}e>FS(_44Aw`MICLQ-CxyCBy52UegvDt2Z)^ZY!O&Vg?Aq)3)(g0PkV_S2&(lrL0`Bzi&m*jP~ku2+bVqdE1f z*bO@T3fN<;i2h0!&|eAO462sxI2}g0CUI6-QcU%{gsSv8wF{D>fj?Ewiz`csU6VMY zc=q(wGp7`YOb06NNzpx(Dn`*9{CWC>+=-(Xj~)`=zvuY&EtmIfi*P|&T0>XpXY6D=&c#0K$kjs|dd!?^7SfV>?=2j4x7qtD!!C&yZc=`9;0~p%_)D z)8P8DNRQX7jdDrH4DwIOzXe<4#?klS03+me-15Ehi+?y`N; zM)_06qFo)mG!>#z73*YPAMVqYnK)6&UTkfE=LFdJ3*>JsJa0GT_H2D={>F1WMPxT< zwz3vjS+7`u=~#Dkpke)b(F!V7h;kq?9Z%VfA1zcN^(;tWuu`J}uPWC}u3bfH89L8G zXAH>UM|ldBtd4>dR}YUHfGB8kZEC7#Ohr zmNv#1_+L|Kf|==p(HI$PgW4Dx5}JcO7$RrPK+`~9Lsv&tUG1v8{6&dVM_^>)393v_ z;TPuhRdOa8>!tuZ0rlIPm)cuax;pOl2<{*t+u4SG({qirWQnJ|3bfZ9cQ5W$5kq-; zo2+Ow_Ml)tLERLv2X`P$1n?Uhc$1;iqNOS?JC$Fu@dm$3O#Ia1yVyV4(p{*I;T189&pBJ=%5~3UU5_kbA*bW?3OWaU2QA)Q`kvP1kyc-+T*-1Gvw^ZeR5yeMN5y) zv^0+LYJ`<#b-CFTRS7!2YkP$I4IgdgFr8~~vQ-AScBDm*mayiV>+bdSJenN;e13-H zZ*ZT9r^xQ!@>09;98a;gH^UII6_6L?gCVkMZcZvzL`5rjNMD)>MiG`*Vk=Zh%QFEK zI4BUWv9mKrk9$iC!ym;PU?}j%BG-$qnn%9_ctqF02Er&%K14KGQXF7I{emPJ7o{}T z2e#Gd0pKAe4ospXaTXp_z!eON=@7Dmv@zL}$DmIlefYrHy}OTZ+x#kaLsM2ZHz{GJ zOMo6I5Bj>{96<1N5W;}5A$9)FY4 za}=94CRoL=@?upTCrc7AObPmmV!#uKl?wwa7sLtaVBaKaZ?RHiIU@=Wq+Vu@i}p`t zP~D$Ko3Qed=?eA)@DDq0w3vmkAhnT?!0~8t{unB2*etwrlT);e^&M~mi#VI8^iLEc zhL}4B$bD1;Qj@htEH|5CKG$BEG5VRf{=JE zXQLSbgzNF6Xn27(2Q%<6;{ZwvQTjSkoY$A1Gf(>PPib7{d$N&ZY( z!FUmKlFh<>f>12B2lBE7St$)kvE`8=Sw0@{y}$R*zr3NZ6=`FNkP4EPtNfihlOsmi zIWzTK7@|icg=6}`>@+fqp3eJ{+x@C|<2lH&<{%}IW4%t6G*}@%Qp7K)j1JP%jn3!* zFfomUr)i|2{;kd~x4iv+PA=gt!AAr9tp3ce9A z9hA4oo}lf!X~=fuU-vY1^pl_T&sA^{BPA43D^yM)Lr;oJ;p|Z_gcQ6%G$T_TkCID1ySPNTDAh$!QPA zh96IiJc3ThP(PxC7%LE6AM7QEO^(0+4&Eevqk7Tkb_HQXd~vuRu|EuafZib6L;ckL z>5YDfOAx@;FTB;)Llj65nL?)_Y+zS?I6NvMIgGE6T|FEhemF4#tr8NTL|rF#J3fT3 z;~3!d!@S|B?m&2Lubs5o3%hUQoqi#X^WG>4agrk+9>8hhydI7X zts=Jy$2Qo9X<0#fm5@vz(jJyNJFv0R(|M<-a~0DI!~$Rd4A#|wN-?ba7!!vnM30%2WseE};gCd$*sQeEa^w6lG{ zb){5u?J`fh*0|u|{LGthjdt+yi%(`I@86tyx;{l|$5z?hn_r4IUgRmT8zR%8PNk1h zj*c}6L1dPS93AjN(53?=Z&a22Gm+VKyRl{~=s#(z0;2(HgW6T(cfm$8l zqG3r977H6AeA3*~0KCT3T+i4PoQ0@_>4T)`s-d5qnyLaCa7&&(4w1$fH>dO)HepKA z(X!(4auTt!;=a-+EDrAtICU&W{FK|F{pi2ONQj$gZ9!xlkbtQCl@$%;q;|!I)c82D zYz)#&G;^FSn+uuI-tK!o`A}I>LhJGcGi5~wEp=a0qc}$!rk_V$T%-WnkI6$Wy^ z!j0t^8ps426ftQ@F;;V_FF=V(#xKwcKUI|A5Hz`#P^!^jXa(t8nTCa;-8EC%q&a6SWL zv@mxZ$42d8w2+C7X$V;1z>snekig7DzbYJ0A2W;WA0cU04n7Zm(I6{pD4)y;lH~^T z8TcVYA+g)p@T7adKpgZm;~9l}koCnBWcY*7>9)t#9z$t_0x0#%|i`Cxjo?Wwvp)!j;%ltj8-icu@$PM#SB&UqK=S=hl&Kj)`KjfT6?lV zr;5?a!-$@s-~|u9(+z9nc_LC{WrflhBMWmwjK7LENckIFsZL%kv^wP2#dJVIWa}l(w;dfck1|+6Gvr^AGvh& z(D_6Afj5rt*m`l-)(9v2BnQiotJ2{zVwle;88OfE$ITAy@jZDoLhPi|p}i`XFJ?qV zOz;~B4{d3JBVx9!a40*aBPOWM&!yDWx-!(KF*~`jh#BtYw0GmjXfm#$AZMbfVP~Z8 zV{aSnJI>8>cn=?J+TVO4o=bp_g4jyBeh@MtD&JNy>DKHkI|<<$<>R-^s;KuuLIw_=!AgJZ){;gF`A8^}??z0fV7ArtbY zSNggayE}oG9*quu281vm#BQRteBz?}E>EDO8t4*`;O0aPq?w#~Qk=i6Hr+FIsXn`T=YXZTIin7YRL zaYW1;>M(UT5kZEC3j87PiooqaUWv;E12eyf4fuRITk&=HAMjo3wQ;7U=_bDs1G9It zrEwOXA{+oYFM@4u_dxa&8=aVQf_8el(C(AyGxT;rt>sR?5VZ^diVp!%fWi6+dW1I~ zSocXo(8n74` z8mM=ZK6h0@Oit{y?E1JOb_zolRXE094oH}v&#IXyo6^9Q>9om2H;691{d(ZCO zb7J?-Lt8hAZTr;2+$6|U&*hT1+qq+2QYSnlkJ+3)ptWba-J$(%#}6Uz>*ATyfo`sy z@6tgN4qqW42pWCr{??>-#fB!eoWqS8lzdU>J zfSJlQcSGF(OVc=K2Ud`8bzEd?MiQ7i7J4L~cQMj21lehA8Obf_NhpP@h>fVj8k&{b z#bWfa^ZSZeJq676+^oj*XTw?5~9%-sy!>UJ1+~1FQCg}b=f?( z1nV!o+p!|DPy!fqfL5o(RmDYC#$hKBkQONj@n`sXr!}5du@b!XVe|A_J>xiS~XhAk+ekK|%}#I87|&1Nk|PsR>naQQVktFn#Q;Dkh>LDx@Sd z5Q46yVS&X&-#ZXL)Q}w8mX!?rFbl^Hz!>Eu880cEBR+>RxL-!utiJs0*31-45$2&K zBLy>tN#>=-^HLMg^1C%NwTG46!^-JlX7>TN6y*U?P!0;xuXC^{4|6WeXY}SXx|vym zoOGNZc7j(-Y;9s}eR3Q>Be^*(u{kZN9lOfS>}BN)8;V$(e!^tbz@v2b@lr5cr_5f&Em*Mx=PUL^#?iN}K^(iMwG9*Jy^d zeyO)Zb9`8Te$H$|_5J?tCzGRhM~52<^HE11BXJ7xL8Tp=Wp{1zkUSnECr;Z9d$Ge> zKV~ClN{cBT+n4BU>#eTf zrlFV;ldBy7_b!FkxN<`3!zeMtxcmHz~F_CY%uxfPLnM1Z4XAU~d>Ho^GkWp3IQIqKJ^v zmlNdABg)C) z|84I(pyRmCbKh|iJF+B-y#geNLiD--7QkYA@7Uh49oU8~=)L#O1_CT#?_Hv*)hx-j zify^cC9a8|U;LcJag!ZOw)no;0l=bSIrd``TRh*HGg!{vnYnZKpa1*oKwY3WP}?8! z4M%WQ(S7YL3q2hRy`76geWykSE=-MG-97c#fjv(h+>h<`z0)|?(V@Nr-R-!5>E`;0 zh8XT;vN1|*n_|1$nlLjrAaEAvpFJ}F{INqXo?QGKayMXXymsNsSI>VLA*Rh8&FyQeTF=H%4q;PK)9W5fN}o*Eyz zG&6a9@62Nd_C9@h9`pQ}Q;V;jJ^9+XlX!fX^G_XFc;e6j)W^8KZ|3Uk)cMKLlcPf? zMg~p{51bhr!X#Xn9>c>uKQ(#@Vr2j9;|KOUkJ&!Ch}QvJ#xI{e@$AuqPcO~`Phg_v z_C9`K@1u}o^VsgiCoi2`M8qr{^j}6m>4noscg3`P>d5@nJyQq!yT_XvB(!piOf=gP z9jew(IdwC-9-fVXyJpYrT&5(>InGiUeW`s!lv*{th<^lnuC1 zU=Ag`C#0sqW5FB>2a33&v^+N}KP@FUb!Se>yO5lelbn>jb4S*W#Pq~%=?PnRZQGKz zZEM=LEh$^K?A)?BVZ*weYgbD-Y_meDC@mDH@09G?Ax3A4l!W5V>*-rI3AZP(w{6ba zuwKAq92n^P{FMucZTJT`VUHbp<=|cf24E2ynH58RSG!I&?Q@LSG)+Q!k5%)?sbdkh zy(B$N#p77yk{Y9~(d`&Qs%&T5;!yvIiIGziqi3hb&rOXV9U0i$)jrY??GE`{YrRb_ zN62dS8gw4Lrq-bM8+A2$ZLMA#vY4WFYm3L(;rHU;xt`7=L;c4`ht5uoU7Ve~xO?jC z^u*Dzp#y#03w_-O`?@jaXk)B9=tJfFX0NlsWd~Zk?oOX~ARHWTjP1rRy}$d!`0&;J zd!9Hl|H7FQuUt6u`77tYaOpgDP%h?4tj~SB&rFRU9~s==(=pLf-y06L)_Nj#i{E6Z zH4<0o(P_MTZP03Na68*-JcH4?>6S)}JlE54WT+3fc64+Q_dD0yHQU}Y(irQh3*a6b z+>VIdT4yz5i)m@~y1N6l#CO}8j|}%;**p8#!rYTb7M@x>_$+>6=TCj%%7xc1pL_A_ z$>$))jvl(ad;0KTA7)^tt$8FC?h4d)`fJ*1J=ke*61Umus~K-@z%l1$CLdnd|M=ng z&z(E<2GZ3*i!NXI?75SlK7IU|6Gt99ICpj5?76A2OdwV_ z-c&!+-ZJ0UeSBo-{LI9)eX|ckCz;=mFFtW-{?Ubf*Y@weFgtmCbok&v&;ITX+#`O= z1JSy^aA+VB8f~hdXl}p=2YR~>_V+A8R!@yzn4P+^Zw6n)ZC=^G`|`foi+FL&OkznK z86G%1)PHnj@XX}sg_#LF)609Oug~p$`sm@8&z*Yp!s*YPJ^86)hw;hT>B&O_Js97k zQ5Wt^ob75IueFU=s}Duom;2kETbTXg#nbTr!Uq1;Pd{77$5P|4i7PJWDvm?vQ zCK4hfV+C-&fX*Oh%!D8fE=$KooCZTpC+P{HY^WekJvTekp^8%dBG>(^~xvx-G6(Mg1|l0yEjBthzSfRntfVBojnFu9Z2pMFP$}UnRu0)Xbg;-6e!)+C@AkU%1=#= z1WnqK)Ff~vNkzGu&9I7vKDDymY-n@Xx;;+h%yxO4?at~J{B~?66litGr6xF%xNMkc zq_he_8C6zU{=g?bzUsbDfQV^0ER&Fjl8GQb;D!;CzT4v%^n3ei+`ToPo?3UG&(rC3 zw!7@0MPaicpjSg1gnip6;v0lKc!yyZG6}e-XM)6^fJPO+66=7^JJS$Z>}tO_I(U6* z^oc!FAVJqAhEWdpL~qBQ#>ilxrqgY2wwXgZ4cuf#0k4Y9P@+pOGtLkz%ET3Aaz>?= z$1w@{E~U(`t%@3T&DG{Ex1-17z(wK;TOGEj*?`PwyHsoz3$$ET6|+*sVqjVfd=3^5 zuF9p5p&}f*Nc5p#T7CF%XX~-P_7i||djGCM9!je=@j!L5xCogV5# zfs_MnO*0S<;Q*!)^M-4VKuW6=xJ^6@ca;LE%~6vc~Jyz%64YjJd9@yIy+uzcF zhOM&=k&%$U%j?8tM@+hqK?~+?my4^V!fFtFG#Mevacg20BM!hr9|`)#>H^cTy8SKn zyBng&G#SI$12t%zfmKm&H8l`Nnqf=t@j3>49u#t!jD~Q!!<}s)m{%qUYKEDag7O*i zVg1C+21@ew$&Qwh+Uh>De74qdsw4LJ?AR-3j(!9A3oktTg-<xEb^(v4DMi~CRr?+M9J0(G8mzDL~k|Ccfj?1Zf)8`hOk zDAOHnuU+WHz#%P4PN7(I*dR$Sj3tMF4 z*4nJ_0^7A3QJLaE}+vyY$gtw7qq1c<|WF z)Y-YcmkuqU_4(QTdymgf&kYZZwzYPILpX)oWUSVzr93Xbl7`Dj+PW!e!@8vPYj$qk z%qXESsHHr5rBcAxE95q<1_#$z%t5EU&gBHc9%sm5$JOEm?KPl$06hgjEF0<>jKAR=xakm5mgDp+F`+DX_hmTB-AD$dPGBb5}a%}I=z`mh@ znV!zRhFC+bx7KR$nvH+|Hy;xe7j%b%h|KxK-+s(orLdypi^YT)?rW?c?`+>Q&^JFe zd~{~=2wu||ZDMTy(7Eq37e&pNGz%<7`Jgr zbxwP;ueLKB!g&W8>-!t)vC|!kc1FUD{+c?M9n-Ft%Tb+J%44g=BDF|>E#_aZkX36n z9+NRxZHu^F4ZfPTP@p|r*V7Q|Z)(8hwS|IBejhH?Uv0${)mSXGRaqC(V3|OqeDoz?yZkC2Lln0s~|aPyuE3_W9~IbXS}B4Ep-o14!($f z^oaX?_NiAMzhO_=F;G@KR9;-0lTol`L!dMV5@SL{8{|=8%5xWG%F>fc6E}Y_Pr2Q( zLi$$7EPGfLz)j+PA&IhJw{sS_MDbrZ01g_E7XXfuTkyN^2_m~{YcK*qNl1X0_-dRa z{IxFNz4Q%2*??IU?+8>LhM2l#4lSWT>yRPHBp$N?Wn;+}8s{lG9_yaT4Q}GrvW@FY z3JbnJON3Y;<>Bmcc+;NQH@m;7{)NMHkB{{o57*4Ojnfw8kVeocWyH8tKeHsN z6pS>4#bw3ls{-?^sH{{*D_1ZoR4hcuGw{O2PW;7Pk-j}KFL_5%YVwY?4=F0kq?B9@ zwV+_q zklCFLCb(@&PV!D13{44FRmDK?KXIo}b*dQjU5VRvZP{3!wM$Y`Ajr@1D8=Y)N6*hr z+O(l8H=CB1%P7oeQwpI&LL1R=m{37OT2;Hl+HSWrS&cE1E`Zgdl;eVZY6bGRF`wz% zHm9#!-5U-}Hb%x<8+JbQKz>>>rb14qL36_mTEzmtx+-SU;|3edMrc_vqYlH?qTN^( z0*xeSLk2YuJU40GS_y3F`B}pJtkRUEoE-^JR%K9t88jsmx-K!A1uC~hhzo<=540NE z7oi_A;lHD&ZrQ{r%HO``A%t<_IRccll)}{H{Nx?f>`WD#iN~$wunl}J!UCapAq4}c z#y-xj<}h(0OqvwFzsd@XMob!qP{wdNqNs~bja#TQXrR?JSPYF;6EsGLTmttm9apUgoBjtqLaA&2LCTy%L%Nr$%1eW*n zsBi>03Nys1i8M<7AJjMQQaK2%BW@#&mmwvzj-?{TMC*ijqc^0qz`}svFY5nPR)9*t zO9DLvff=`OCpS^Yi3xNJErY3B#$9p)sVK{q4JpVD>3b!M0fM0rLIYjobu;SbPJ891)rbm%;9dPfv|J(cgKlx$a2NwbyAF zGs*f?ybdX|UQiwuR>JgAZ#Qkc{}VJy5sy*HXVQgCI^M7n4qJxz8i!3Up=@2dIzMqs zqs>^S6h@W8R->X$#0v4s>v$DDCdFQsTV0mlWY!{&39on-wG_mPQC7k(qjJj2I2C0) zS_Pj@<1^?yI*nUN%ScX2SiK67tAfJZpjy_Tm4!r1AGa)s0Y&r{ld8pKMOkbVKh!L# zE;_f_h<9AX;|_aW5w9BxM!;@!8TEMK>Lg+)39Ih=czJq?M=A2j1$JhMnVMumz$ZvWza=zmYBB2DvP0>y{ns)~0RVke9Hr)m`nC@~zBr8>`Gz zS)!*=3@n;S%)`5&5+xvb9EC`r#3jjPP_8ifRbr8X&t3n}gBe>lWp3MoEu8on37Zqw zuPw~Z!o1=3H8_hB8k8DJDU?;fuGcvYdZ$ru*K4T-dHD&O$6M+<%~h>cys>KaJj$C7 zw0~-TH;kxXynYEWhyIL|(Tb9OD#e+#t1NL-q&$C2R52l_=;KoBX$7`|bU{i2byw<# zksEi34w9`vdVsLpRv9cVH%RG0cxj0|I0A5qR+K@E!1F@5Ss_CRz=*K|SThJ>vLrN^ zOg)nY+{IJCP!Rjk+DhchlSRGafnG!w36V7)XDG;*_tx5xdqVn9Ku>^R*c*)0+%tWH zm#`HE(&WTMw^4s}ejjXW-+J`ww_xHx@(~^RVS;~fWtN;Gv(Ad*9uP;p8;!{auYH6cN)S~1!Nx_@wtx_h?0w{TXcGy_V>6_P= zWTvwyh0@9jyqy)qOOsH65Fw*x;pIr@l@xJ`@-q^)Ca!r9dPR??I;s$O7?c`%k(XZR zV-^Nj6d#k=uc<6@Rulvz+?mc6n^Kydu%#UOLw;^~UUp@E&O`Tof|8sVGiv%A#!kJw zLBgzImR6VM+X}NHg?a5od7YH}E}%HRrR4c?v*^j&3w9)CC+*1Jm4>149_LXB-#UEm z(5V;&d9>V|2R{BciZV*Kw>lzWhuEcIR!O6nIp8pQCA@X_{atBhdPx@MIh#?CkGd%I zq5|A0x3~y^O2a8GWEB?@JJ2K3Qc^aqYx6p~s`XJ3BgU@)&1}abkg_^;(r#mwkye7X zzuVTYWm5_&^KNNhRnqDVt^75VzdVDFa-LVh^t( zW>Q%pIyS6f78Nikg}ky-fL}&s7gM+;lufH2)N$w`t-{5x^a>fZLRPJa=@oNIQ+6Wb zb;Coe*i;G^;RNNSg7PwPWrY|~2edLiwS-$*Tv3p>eeD{Usk`0QdO4><#T%_wFND44 z`&yseJN?qhBQKmjzOSbH_k@7raZW+K%l`Yr`nQKpaSP&9+doTNV>itqa3p(8|vl#TdM;}Q$7)ou8gT!sb#2u)0 z2YjAexYagV9nX6u7z#o@kobl+2Z$bde{(1it)b_!bUZ@S(DT`P0sCIc4feJzm0LEk z8H_!>-JiX179ALohV~`I6P`MXSjQLV_dK^}>ap>GtG#U}o9hk+-FsZdVWYf9#cP*w z1|5bIV}o-8-N^8T4K{ttCIpa(D0z~i+?Zb3X;L*+2|D$%9-X8|#RvM;f_^oBP|fdE za=H}EHgRP=j~Zf8qGIOa=)iPe*N%ri$t^C3n6;|XJb7V8wSc*2u(!$U%uU!VDk*5P zn*w4^RLW_Tuv#U|9wlc`BN)|-r;O5ZgJeV}!uVZkVW(CaQ3~OxN?5<9I5$hgpuzMZ zhLS+9#6IFx(zkD0|H)6#a|w3Sg3Kware7=Wl+s&~EG((SRbksLXM&M63u%yVVNOYy zUojr?3^ztoHm|QN%um_6IdR=;@S18KW5{FcGgb9!#O+FMlwa9UUer*K-CbVN$D*BS zZF+3?%nHtRwhwR^1N8D>cDgZh7bwh*O&hmw-bkYqR#J*MWu>VJTXS|KCaiu?%cvL* zxI5IsW&y2BQrRV;0|RRQh)L-eao~M}Gi&pj)u~%Ifjwm;Zp}>GlC^zX_V$E~ge~b? zH$%83!$O|8Ejw|GyGlA5^t8yi-7?ld6=z&4n9_?dV-p7Hphn!I5w~h(s?vNxK~B=T z)!9kgA>u$4Yqf%Czk~?5l?hQK6^fW&J$4vz=KyE~JeL%RAY{L29lpCzY{%GrP3tKcR1|SW2OCkep>% z@rcuwW#$6o1KPk5zz)*zE5KYTpk`oEQ6^BH({~>QqwRnSpdmXNO}@CYrt?V zCQ?yAenIQv<;vr3l%+tgI72~{7a=s_;sSDm0OW>2z`18~gOjioDhJpKBRxIpabKIC z`|6ErKS1i)W7mlqQwS(Jx%dJCw-Br~J#wYL^Jr7u!LVnp#yVkDO}Y%%=4P)SJs8v| zs5`aMw*c86NfsS$t8#U6`d#w3F*8dmeW70gyq zWxb$c$e}+uJ*H<>ZdU>ekB#b<#0NEv=|S!EK?HG#BI?aM{21>@)xN zqyPQ(Z-0C9wtv5S`|Y3p=ttjv<>iAlu9m_)XI46X@A$QDU$Q!r3J-BP*TBls- z5iq+f>OQ@6K*=3f@%I=d`%O|@;gnW5rWVcxosS$k5VaaM{QciKWuh7}&s0HCQ}a~C z`D$vRO~eh^tuB>HH23e0v z&?I3)bo=;p6P=p5bz|!0^_y2c;F1d`L*5n%t53ske3C9GFVm|&dZ{1+vF(9=;M~cC^{r4M~I`hI9rvOOiS2Uu`BsQ z%8k3I$}Q2cV(x&^V~Ms9og&GwQc`ZzfnG=|L4pYw%8COe5cb4Dkd$Eb-tiR1 z*3E+L+vGd9vyyl4nT-C1`s;@lUVr2&lB~b>@KrP#Msbx_P8~(dgJmJ0*bRguhR~A-Nb3E+Q z@u;u8#!{80sRrj~~JUvcJgNV^3XOHQGdrZN8&bX^;MhUA16S zo@w-d>hS(HtFB<%`swCqpSdc)D)m$r+Nilc0sYwI=%o`!4MI-xx>Y@OwIj8TZnbE_ zD4nw_k9qZH0+#b3`=yBUV#IYeWIq+KF1U0M1;aXVha6fQvtG<<*DFS%fy%TUdNyre zOQc^Tp43U^s?|rl#-l##qR+M%urJhF_j`=94(*6V0Z&J#M%1PjHdOH=GHzJTZ2*6) z611sBomz3fK{{bojNvt5RZdk`&DhlwwyI&196b)YG@@oDFDhjRL16@RH^0&&V7T~n z2d~n}tMrPP^(M8lG+$PfHPuiz?Q>3h%yV_#gH7Q>t@R^ebOxnC%^0aD=_)C(Wu)z<%(WJ zzy_kq6Sadf#caHO_+k3%=g4D@MnWBhdG4ZIm_~(_<;iOvgwtfy zZSIt_Cv>7YoAQWTcg|1z(jmt#;`bi1oe$V9wnm=ZKiy~3_IT|_+UnaCya>Crj$K+q zr_?KjH;x}!m>Au;>OOv9&c2T3F^3UPWX`EO8MIxBVbc89TY`^uMxX4if2=cdrOAIH zWIyCJLUce+>jleGa!2gCYxDc3+nS_>S@Z1;3qi-cLw6kg2qNxt^}cgWfeS5RGypw@ zXBT!YgzWo$mPwax#I6B`sx^Z)^`KQXQmvkH>1W(V6mK~gs6H8WpNM*nM?EKFo+EWm z6uaK-(oa^aht0}9y#&Poo0Z%K89OXt285M0+;SgUwh8I2df8aSXX7K(fjZL^*&hq+ zt*f2%d0OojXE`;Lojy=T=`W*%^0H(*x7&*{x;Z5O^9C&tS^qHxl zC&&Aq9PW9tzy0Bk#;cu;&mP+U?6D)Pg52WNoimM*F^{!X#)S^trr^%i2Oe2maLWXH zQDLmV{Y>;MOx+})ck30896L1I-<`bXfo7L|t~EAlRqb`^ zPlTKo8+=#W!cX?MJc+80!$9ZL!<|nJv|ecopNM!4)>`*Eb?~!~8D$5;-p3agrW?b# zo7Ya&ht9P(oIq{rSnb2@u}8a`AMR_tG1&Pyp5|cZ<=&Pv?a>o0p~VK@Ld<&r1h&q# zzs|J~^&GCRIo{+u-4Z(05<1@&xzf{gt*`Z3U(2QL#&d0vlg)u6QSX6Z^=_|u+N~d{ zR`r?WT{=mJR@ABzHYvFEGEPj&iby%_M%8fGXOr-emWA-DcCX8>lv(m~U?4(+HZYW? z!rZFVBz1Oj3!`X6SdOB0{oK-~%0f3Kvnq2ZKRrp#DihO6{#d#30ixqB>9GIm_IJ_C9J)n%!i7b?6314ZVVo2jvni1Jk!^4ey9ieSqKQcINWz}sOLgo=Y`((3tcUz zn(EGWx7|2=@Wl8Cx2RyEsbS3TY1c@bOE2)I2rSw zY!07ltv}z}c5S5Z;qk#MV}sYR9qB#O+q&2iJ=hR95b;iXt$h|vqgoP@@qA*In@^AG zl~Y|EF1;pw!`gbgxyNN|R0=wjf^nl_&Y?f#wH^z)&ou;3Hv~^MgchTLg}T~(KG%fH zI&3pc`Wz>xC+gkKH@QMzF~`IqFO)gG7p>8ttEx~?bf${`yY@7UwQQn`4($G zb^mAjzWU=Qe+qH&<~M)y<{Q8H$Ll{H)z3-NtXZoV&BmdB`u2}W(XklvHx}ig%VEOm z2f6|^!wyrAN;GPe?{nx6cr1%S*O{2_c%qolO_}+t2m1o$6{n z)7^Z&tLap0WTDP8?KY3VIBZl57?lehjVEU&;9%0SD(5?z=L4>TKKr4N`)Dk1sx@}H zqw#!i`=!C|^ZlKtd)tn8HXUk>E;QBcZwT&*`uE0sd!oMm^}#tjf`;J1=E!1O49A@6 zX*tu^cCNqUR8Q+-d&9w|x&txa-a5}@ZS|PPJnS&^m{rg&nzfP^jkrlAL~CgepJ8T} z!&>51NCOsQadzgmt(!`cwmZ|)>I-uEVAh~gS}FOC%rs?M67pV$_+_XOG0ZPRczFoQ zMnSqbJB3q_r53QvRTAQ-=Q6_~a#tSxN{Y&R?yNXg-n~P*T9(7Z5F57C-5zIgyRFDT zA$dwD=p_({!|x5^!rBAfiOaSt6*Z_@ zrc$`&Qq(B+TZ~dRGb=U4j^k|EBhERbsS2s&QN6a&QOzr-CT`yB)@dv}ww6|+E-O}+Qj}B*%upsCi(g7X zd{K=~&eGf*rl zE#ejB2}%p~G7-PBeBFZ&P;#;mT&hG}H5T2cynG> zRVs9ZPpSl51A+j{C>DB|i%a(i*j^FWClv%`qL5q^lnQ-fo*#kqYNd)18HfbKU0s*m!>(@|ob80k72bbaDvunhBpIj1BD-bVNXV6B?#+c36 zU^B<8#yXQeXw>@j>RN3T;Yrk~YtSMDv1e#9qF0BEx`^2rwV7fzb3N7oLi$a598;@R zdew5bLTpD&h=>DMz6p62Y{YMuYv@#YMX{nFho7~Jn~}oJ*d@rxq9kpv%}R&F!1{!m z0fqvh;mk~{N=vFO&4D=-ng%Qzy&P%-{N_cOimYVD&TSG}sfu2%t}G)0x5`VQ8-QOx zVIaxKhuN-}M&EB|#nqyW2XzppQ6d(-0?rgYiy7B9I7SY~$i4#xHV66!^bm(kjQB2O zu90z}E4BLwxj`_Ll6bOwSv*-Dc_?wAK`;~oqz~mbxxu(+azl`sh}2{L&ID27wz|A5 zBqJmGZn&HXr0FisZ>Qw77Unh;4uz4Q*O2~FUy#dWdd@u6{*R(>`ZfR zwkapmn4O8ODL2bZAlsCe4VBVTkQ+yyr6A9`gj`F0t~oCU$E-k;xl5k16JIf8rRy?x z=`(lfGIn8y+{ef`(ul#y@$<5YuLJqF2FF=2K5;JMzp`-|_?A8^10!fNc4^YnH0i0> zs?*b?$vcqKCr;X4wJTMVnI6BFOcO3XI|EyDPL>7NPuw6*M-Gy%&x#*bkf+?0DoNUI z%*!FJGb3G^CmVA+ivGURxxMi*=V$-z%Flmz>Hh{e-CS{J z%i`-}FaF&B=uaubU&^7?{lnvr-~5L!-hBHld@+`uQj?p7>(ORs;6%803{GB5{2Fjc z#8qL7KgoSvX1Xpb1AmeerO!yyrKe%vn468qf&0RaAv*)(7_&2odBYvyam1g9H6Ig& zN038cWv=k}vdH5zO?f%8a28sc1AQmySXs8je>QU-&0b6HHnpp z_1R0MK!BLik_}lY0cvho}u1jRQH z&GkpgjSnU)7-}V%h4ihgWWd1{3xA4%&AKHz-X%RCOpq{(ekcg}fKBy|BosJM$S5x| zSw0@+bq75OdjmwQ7wE}<0Z&04S0u?Ab5k+@ru3BB?DQ5&ejk-c6dI$IPE=ASXjH`2 zkfFQ-)S|x9qF!nt4)_SYrG>EMV*xj0r@wgW1b+VC{?hlvJF5oSlkUtw`D$K!!Sqv( zS6eEEX~MSYlVASa*MIT!Km2C;kv|x+dLZK4tQ2d;88$%&%p>chKzsyq* z1IE60`i3+&U7C@Mq8v^1!T~;2m$uWDlU|dP5z5VMgs3kfM15yTA$}vElfxBpVFG#b z5oo2TvN&2^+(F5YWu*S-o8Nfnop*lvy?=jd^hH&QY0-8rL}{dN5vWp}rAbn~d+*}W zmtKDDpP&84uO?sonf%aq%}p2n`Li$IeB+gy|N75_zLAlNq|T3Km7D>H2&^=$H_Qoa zZdid>hggkRliuv~>Z~+P+RjKtKD;L*f->~=fZQ13l_7mTR$1h$EcSBg2ISqa>3XRE zVekfIaNL>h`p4=UchMPq{oMdtxg!=G76}BLSJJ>%NR`9H<&rRRxCGb$!CXL3VAF_u zPe`GG%ry$^18}Jjnes?@Asw$^C|npcmJt^kdXxiNv2P#@j7`61JVnQ%Rh8u1srhZ} z(oy)n1?7<24HX4-CAmPjBqzSjt;x-RJ<6Yx8P3a&Tk8vWJFBFDT^ixhBT8|dUae-*ghd6462dt4?%(*IC^twka@Vk6 zD>xU~zbPI#N|rT-t%gQgIhN%H&qT@%V#_gcIB|MH6bD9p*HR%b&=a>ArGv>@Uj92A zD1_5w31BF3l0x#7P}my^-@80|r%r>43IT;^Kr5Y63#O%vNiiKJCi0043(Mf!f+Qgx z4YL@D$xW37jkH2!8p74}SB^$n0RoMD#X0pEgxq-N_wRh?`G5TU$*;b4{41ZG|H3PW zzC0H``S9r{-}=>CZ@o$2=Rf_WTi=|uo%hTWpFKKr?%R()cJqywZ+?$($U@(6WbaaC zrua*85U_+9{;Qo^EUgA&ffY1W7PT=cJ)BZ3KcvQE9Rl&(6QbrY8K=?DFY9Jg+j->( zYHin7wL4%H*TGI`vFX4U5TLcfKI-Z`EBk-mofWncZ^A;hjJ%a`54Pg>IYR+YI8+m^ ztBut8!l7EAE*M9k_6~Yd64x|{LSCpEO7ufPh?gGeC-FR#C1ryM*jNIT4eS$k(Kt!b zu`2JGzG2g85UtTw7g*?OIo4b^?>6o;%66;xGji6Hi~&qa=~Gh1l!QJhCKN&>8+1nuX8&Z*Uj1)lxTX&55N8f-)oDe{EBG z`=VOepCA?+jaYc13hzALp83xU~NFJtwb%U z!hBhAVO3d)redju^a{~fQ4&@@`O|k+#v~joah2oUF<=B$;d0D8o|(@#^Y|t%k3d}U zSn{_nc~6Q7mutM#FcIGcn+B01zsybI4wT!3#**|Po_eB|}dpZeNYE`RHd8{e7koWJ?|o6t0F{_bYb7kuD< ztx8zE^HbMeh}G5yt0ONx`Z6ImmhGaR{48flP7l9)LdGPf_pfbM$>kK^lB;V<&YqOB zFdL&%=7^Lzf&3biVo$Yp)~1=Vs>jT#F^6HMt_H<#hoeDM2~d<3YovUwm}i7pL&7r( z*m`)h8AR~s?I3^Vw}0}d?~`NR?vN#@3X$nXq`ATDNl+Co+sx-#_5Kzz^SdQDO2iu=L1xesN%S7@6zEj)#Xl#+z^b>yQ5JrOTf=J$p&d za{l_KZ=XB-*c*>N2?xy0w~53z@Dv}ls7}V8av10R_K)TnGrZt;&ifs6zUqB7w!J?4 zLd0!niOYMZF3wIM3;W<;&z|n~j-a=SR?08VM;{OkB5kCCYK`1u)%iTuU;s6Q z-S{=#g+DJ(!DuT~<@WCO@T$0+M1y;Tgb`FF=mAfOhJDenABYncg0m1E8{_ptZ+TB3 z?uc~P+YO<&xCv~bq&YP1Pa#|?IGB)-s9VUO-7~p?#O>{CSEp}S!$?m?(ayp8y5qa2 zuN*ymW%1zE#e>(69e(oMsTZzae(vJgCyyU`90jfy4}OG|8Nh^GTiCb9=Lx6m`1LP- z0SWN=8(({R&r9EU;(P!6`oCVAfAZn;PrUu>w;?wmJ%08tKO2thIUc^WYgOK3hcCbL z#;Z5K_jP>bH^2HNjF?w!4O=)n1db0_!AjJLN$tR{<8SeCR+Or@X-7HZ}YMT4PTyi3RU(>01eIKu5J z2azWmZx#uy1HO`Y4+WGmW}0|hs2o;-0I=`{gx1035#AFV27+^&%T>p@jhmpq+paiO>3$uO3WOFmCv#Z*e)bx ztL2j&t*Cyvh>GIVlc;0)5pK=E^u&?Lv57!!3ng#XsC)Y@B8cnrH@@=l_|yOJ{11Ng z)t{W*|Hu=Up8NW1-+t}cug>)z8*%LKFb}+b`SQ*0eE#PD`92Q*-S6IBsP!PPGa{D^ zG&dd?86@WUqe-5g#5^3C8ap&Owx_?R*=ci#I2JC$%w-rkXhBjYDJ?47zE#O%8ss9A zTxgMtEC>%4@r-<=l7XJk{@^eD&wjv)=pY@kL;x58qLeWN8e+f*6UVaLh>H$_usGwn zC+O8!g9LfM1Ktyop4`Drmgx!MKp`0lg1(kX3dvANo$8+H8z^`M6z<%?%+3@Pr{IO^t7737qh3qCzhLLO8q!pAMcb(j(W(bgnLV^g`AlxWq zAP3wiASr~hL68(k!h0q+D22JDB?TOIrCzUeyG$OpnS?7|GXy0K$+oi=i^go$SO};A zv*|;_OnlL7if_sN|FK((Mf)yjOeU2^D_4m*EyxPYO#9LkkH7PKqQb_FlTXjq9C`A< zi_af>?S+${-`9B5F82NO<{gw_rgK*7o!DA5PP4{oLP^ysm@;fiiA93i z%Nzq-miYPHX$8HPf0FtJwkwX6<;e56b+obqJrR~v4xzv*6q1Am(vN5*kCGa3o5pfv z*9Qs>Fcea55S9&82U-#u_fl?TY}=ZZu#J+ulb4$x`Nzra^j0;PW}FOMBSb@ zfA#C{zwx7&ZhZdK?8U3|$8Y}ZUvB>7hd19M451JjuUx$x-kDIBpHrT^vm!l>pO+&p z$j5+x!PE7}-5N0!#ClT{Q;0QLQe0I^Km|>syi|>zJ7khtJWp-qH+wGy#k8!uf_2L=U3U@_lAgq)yoj6>FqGgj zLbq9cp*!6(eWRo}pITDLWz&p0xyNDjI7~IpWw=Z=NIiC$y-u^&WvKz&mRbVV_?F!N zplyxYyoC5Ts+3`9}cf#mJ9pDcHX3T9jI1%Y*))=)4y^P;Xqqdh8 zp6zJ;@pr!i`G9}F`sJIy`PHv){vO^~!uo-KKmW;3&UdzVmKWET7Ks_<3MtR5SK>|T zs@7w`4?g2x^8XU^Z^UA&A%TS#Uv;$}s}C-T8k@hiI^eSh{EmR%2~rROLhcp3Ck^?d zK3o~hRnSlp2mR zlqGr+5A=#F8wl!%yHN-gYuT6soA5o88(G^EPzbklSE`Uwu##m==vwcC6~X?&JMZ`P zzrfF7^@_h-%x4EdGtvJ7}q_8L+_ucRP|H4nmOCp}vQW#h%X&^-N%Z=NGM%;}8l0wK021?zMLW4-aiRa+lL%ETdleB}Hu?x;D z4XY9tW8krtz-1yQh`2m9fMNmwQiDm*2*1zWSovRK2M6B?a)kf%_O8)Z{)`WZ%X<%y zSN=X*+}C>nw|ooaRKP^fXCsctDBu`{afrAu$1Op~H3^A*ycbYYMZvDrFe|JyYNV3d zN~JVrrbW||fLMInkdfM2S`?}%F%quBVhOzrU4V7O;2e{PM*u~J@5HSWt(@`QJGg)) zEMFmcoqxtH?)^i7oTxjtE5Cx}9n5DOD=Q6dk>zQ>eZ9pO8{uADd8Pgr3WN`T>J`y} z7YdoNvgBAn5gXLyVA2r-hC)4YxgjF`CZR~pT_EZVFCm_XLZqU2Z>J|o!d36S6YgWIK%p}{(Esd3<%mx1elANXtS(0&P^)i~g_K_&KifH)T;ro!a48$H!} zw^iqYInJPR=#+Miyjmr*RY|Q%iB%zvLj+f#NhUN(1X>YS$z_UIG;JwGhj85dY;|6? zIv3jWBttKJImB5v- z3?;5@33~L(1gbtHa9?-BsB#ie-vyUReJ3<7QygXu=Er5$x-GZCs>8e?3d>{D6R0+L z0XtxcoxJ{3;N-GfJ*=8CnF!8x%{Wu%8Fwp-s%x-#Rj(GCfN$n z)ZQL|V}tIfB_PP}~0tv^2;W%!WC|Jk4Zhkw!k z_c?N967XbiH;|HD5=fN;4eOzDEXj=}i9t{jqmT`?7CX0NMQ9Kd0Q&#C7z%ms_e^f& zqR-CGq;l|-;zHab?)SgXjY3ASwqs1su1Chx&W9QlVca49GhR>nayFp0S$fqALVs9?DdaMT z+?J5X7ODZNL$&sh4{#8`h54OJfRg{A;0hs1Y6b5@JeT-F(7n8KH+{H!mX;a!2YmiN zYt4MXjlAD~-NsfNwYBl4_P0ubk>!y+s9{c8jc!*h?%KaY(;#f2WRzFDS;MlzzakRv zncNUj3ZZGpN-5B$K2kPT=Kq6t@Y2Nl6z^iZ&~026-u^Bjp?*Lm@QV305mI|8=~(KloYvcm7{e z>sV4bmXlVHu@a9wN23IUfyIsOpd=tBLT(&)&=Ui)*pQ#HWYb`v!iE+tRN~@dg*C6} zHrTmmas%Q505Qki-F^Wd?SC`_AI-q+Gw`1iP~-^sagkPzCAJbzc0+zWL`NuE8;aJD zcn^jWCn>jxNt_M@mospo(%hx(+%vgBYEyTe{i8oWnt_jI;LkDxD{SSqq!lFBFXh)G zX~neUD_>?(R+zBt!{C1q4J1B_tFS6Z@z~F+miY z5~R16+z$TF?q2qV*L%+Y&g<*&-I;mb^LgsaFsrdGX*VBd(}cY|{M!KkZot2P@QK5o zyS-S=$H)G+v<=_6^Iu11M6|W3osE@`txGbDthn_5dKnz#@1Mnb zWDMh21S0FpBs1$WUe5nsR?6|^m}QJ$H=o}&nym5eRLGq7Jx_5wLkVx7hBvZDLJRdt zA7h-rB2gE=O)-rR4@9Tb@i0paQ1DM#D}I*_^*plPakA4HihVi7x|HHyONqNk^*%>A ze2Z50m^OlA(HV=+2O0W@26$>X42Z#R5?YeU#7!wg)f}=(AzASdX+!*sMAF>8qvQi^ zG`C8MdnF~hnfk|925z1;M`k@AU`Tnkb7R9{KoA8iYDE(kwj3mhClf`ZP(q;-f?-&x zCawbDS7RVf+vAS>@S?fQGhyAftkH5Nh)9&s1~JKbE$u$$9Jt3xOFZEp&C^?)X& zY?5&y*{qlx)l3B)Ugs#_Afg0FWQZ2z>*NF4R`*5@BO^3)n{`fP6P3$YVRc0+df2px ztdU2uE+YrkQQ^jEZPb{H)TCA_kiaRp7%qrtq^d0R8IwckhtJFO8h12 zpM8vgS{_5!GxzlnbJGFhwsc}x1NA@~HS_{iJAYm@e$M<9Ik=v>H=k@?O!26q7@S~N z512yXJ@~?~Ef}`aH{|1kn66c@zu7%^rJH{5GXpipnjx_;bF7{*X4Nx#@@1;bf%%pG z0|IWZCF7=4b4fnuC{S|XRMQ)}sz)i9b8`C+0ny-Ne=JZIp?>BmECzECHac?=J4Kp&HOgkSLz%I@r~gEerCWYPLTQjZ-bim;d?DF@B?VpmrSf(54BDfj-Kqf)NXyD?!$Q%QY=yM7a2_TcxeM z<(94CP+A-^E_mulD*7;IoH&B%enUb|(McrM&>+>2neV&EMjDqOHV_pK!`{Gl zi$sy0@(@DzhmqR%eTqG5+qzZcJ{hYI0k0q%6B{%c;X4r%G?|`&sw~0(`EdU0CrU=r zkkKA?wQ?9@!ZxuX0~Xppz;vs`xm_~%4O9jlOhy9TCPF+W;PxOQB^ntYG8OJK0ld^$ zWJv+Gu9BdwdK#j_S%WloCm%^eo9*Q?s`OR5f;}eO5Tg-(lbK1Vic`4aquBgRbirXv zY8-NZ6cR2DcAtQ~wei)=pg=x8PYZ;zO#@t|B-^oFs&R{W`7W8q5C#{^Q8?JzFq0TQ zb*2cHn~tt1!NVS+46uwuRCy6D(5(;ZkdM#N*x1MQ3e?hW*=O?7SLDP?cSv0{QvVw2 zH38{ps+q2>AkcV7-Y!k;*XGo>4XDU{P}%le zUH7xZKnn7G(LJ{fwEANeY91w8d9bq|H{jD1WKgs z;*5=1GZg0%<3di(YQa?=PF0iRG-wB~W-=G46c!%Gc>k6h?lY+@_gY@M4Tj>TyXrfh zUT&JX)i%3vy$v+U5(9oh&;9-{VONJjG?LC%vA9Sfv%Y?&yf|({LFw)fEE%IT*a>z9olyL#^lH_4P*^}r~YwwKIb4>NBOz1uz<+pWsYp;A*NQe4#3PT!IngXJc_FnA|nA&2sV zPJjUu=q=vD#k+<(`D@16w6rjDr)U%Re9IX22#k1Ib?!f`|ANt!n-^qg8zt^hp_SL` z`RDABL1OddeA&pjUuTQ%={$|F`u&GG8!jw|{jB3eT)f(vomJa%P>~;IbH9_Wp~$x} z)K}B=Q8JT2qxCLK=6|}US$)vLXE|mWBhlGMS3vc#WX+V;OG5Y;y!VIcgm2S{FnVXw z`zg-}3?w|BFz9^?A8hXBXod1HOAJt8C7^eIeI>@Q6N~7^`+UTEeZaZ(OhkI~eJgh9ifD0-aL)4$4Op`-jNY5s>;YoUINtBmZ2tF|{k;H#J&1rbO-Fx) zD33V22r+YnHQC7MmQ&qq?qH|b#T%lf)xy~~M9aP_KEOG@hr2GE9bKpxU8o$J%N+!F zz&otPTL8?Y^^sbpfah;y%g5(rWPHJ~lz16AF8RlIiMwy5THbBEGAwg{RO;cl?BnUU zZ)`yRcMvfh`xR^2h1Pom2WQgyDIEkt^j8kU%fj-ANeZ=V2C2}2Qh5Ot1>R4`eVsCY zJt6l5)WBV_W^ZxMJ%r%TSnF=AEE0v=4$gC%5AN61f-E z!Xh!afJG*Y{iMxgG0{}=UCfwX$Ak4% z8tY>E4|Y4S8L*=;85k<{7?Es*{hG%pS!#MY?JV@TcW3%L<-PBJ*9gNfe9EcW56`R z#RV`mmPM4Oc&;yyEQ0^XchXl{L{5SZ@TGFyp^GBN4~a(PyZzPkI-pkz3hw<~=|(_3 zs_i>{kO;D1PrikQWcKX17P87of2}G{!7nQUW8VHPJjUu=&k>GP3AEgii*N~{oMS6ozrsyv-6uO zt9hsXW5TJi<;O0UlzIh)tP6=(E~|6w9?HZ}YiH<%IGV=*PP3`qx2mDFu3`E0F#nlR zoSq@IS7+m?+R)M2gBWVt9R2DX{W*y-L}d~ftS=O1)(@QK?U$!cpIwew#)t?F7t}Xf z)845#IPWt_aU3UyBPkI`3hWb5l&3_-7@b9AE`FmhP2LQ|R@U<{OAJuJZ|x#@<(cL% z$zX)!G){INC)>(%%;19B zFv)b3v}=ec_H|mUZ%*e2=>VGQK0$^Ng{1tkz`!wB^Gp`V+H<3W8ygM-f+%pZW<&qN z*6&2gzPa_?ldC(&R`y_|`{#j|grBDRpB(8Zo!GHe7%DeBRdS{h~NXDZivr+c#L4?mF85~56k%8woNr8M7;;8Z^?7z-9 zI(8g59D}6%n{oE?rdLDeqa=-CqU|_2aEg+Lqoty$5S9ICDp-3=kRi~fqvS{=MdQjJ z7fZ|d`21X5jcYEap{asTdIcKp@t3yO#_N}6>8rlZuIxsx`Lj>>X`k?W)S55T zB41}hrYI!@S_Xy+BEWRt4-zCUbP6hl`i#g}Vz7NVkkv(IZX8(H@tp`21r-`TMb`U1 zyZ+lO1QATNevmB2$et5q!x6R)$PR?${sP0kdx+x;^p+^X;RbrPb(Vf_fiXpAO*2_g z1U+PC)if;yP2Jc(C-}5q@Y+j>?g^D)qVFUb1~>)2XdEpCb534XNJ{q1a&lJK-eW1ZUM{XHES+AFn1CU| zF9yyAKVbfj%ygb0uX^y2zx)#anO2dG0iB@*D3Np1bnT{FP@GE)sC6mX%~q#tMrce} z)?tGknYDHV6Ozwgb=B*~tYTpmn1JCj228``@Q5&BuZ0le4HBzNZPY`eElbbYS2aSYl;ToXTR3?6 z`Ez5i+~gO=@{>@0&;K31FpWoZ zo$U2?hlx3t?#uY*(J+(yaG|knt}K5n(7Q#~HbwDNZtUN`he?RtsJzzX^wv|Tn1=UYxl zET2w<{kfTp50s}k#uSxB9;Njre{gV&SK(on7zGKj(pG||_ctV>O;Rz|c{txZoX=sb zM=>F)dOEELAJIHpaBIF2MIWKFXkRI><9iUGX4!j~hWwn(TI+nrcLq)=C7_Knunv(Z zEw7Os-V@vRqb+J@vJ3Em$MHdx(+7GePiL8U5^Me&wbS*M62t})#L?ksr>k{V#CvjE zC{oRDVyA207Kg7J9R?)*CKb}L_UEQEa&g{=vF@Pk6d}5GuIM%C_7L^csfl<9@e)JM zVQuc1e+W{=v3H~HB~i;~5@2ofmO`zcUl-n20t$g7qjNM7PYIteZJpF`aULCZiA^ z4o;n!PR_(Sl@pTuZtG_T`@?$}D~rH-%lerkh%Rycw)I-)L@YYQlF{bzXv6xMoO=uP zM{)kmv&Vn|FxVI4BhSsGmk?s$VA>A{s6#$J2NT2SYmN=G`Ic|Q4X&-Y21Jl$UUX!vJ4gju`b*yZxsW zATOs033W5sW%&5yNei#BZHKTf98*)6fQ~ebEmThlKK2YD392srgNL`B1s`8|+5tIp z(dW4KSETm$#s>;h{RieQNL@%}=6?aZNo2_>s;ag&D|JbUF6&_;#$Fo z&7n{W%sKV3O8ociyOCrTahi#NJ(Kgm&Rk^R8OTo3z35Rr<9i!4N`&<hYJEbfI`>5SefD{&qvm~>hwt@G9_T?zf5Aj+8@&&`LQzi*%1|z`FnRrU7fU% z5ytEcwZHGj$$~ZmopdYn<(iiH67TA&EhKbDe(SKA5i%)mG9na}c@UkEhRR4o=VX(* z-q5BdStJs5aG=ZGIaN!Yhgo8P0*f`PZ^~^NwYMH~cb<$0nG6e>2=YhnPe32eLMF!H z%1%vRsG|dhMgwK9TpdBpvUMF|pe-sguvcwJM{~p$G4A8>!`!%6W6z+b%BZtF=2+fT zOvF@7B>GUsLdP=(9?v8aN!?x7_Gz3?h=bH{bU+XbH%fk2R~|Of9n@0m*|V!#Vf#n< zE#LR4k9&Jyj^<8;22KR}PJ{*_lN0c#OXmN0NdEM3I3+0)O#aQVSuZ*e88&37^GQXHiaXA3lz5}5I_~2+>R>Yw5`a9Aj4LYvcYuV+I|3x&L9D@Fh;^&ENmdZ7 z>pqqi_4YQ{GwqPz023UuRk_LSnz`X?1LuyCt`&M^kmg&I*|r3ne%1?LK?`TCTAnm~-kwY{l=l z;$xY_1$O-`EU*|1cr(tT)9Dz@{NqRc2(xy%O%SIB8R;J;29Os>GGHdFDjX%mmg+-o z=T5WLLZtWapa7vG*ss=MW3k{P`O6ouc(iTvX=w>a*>k0xKb-9*BSWE?n-S)|2E270 z-XX7Bxw6XHkv2XC?Z9ThyM7W0WRc!>L504N-_jxr@A^00-6HdBm;7{d*2$PH*Vaxd-rZeS zJb3W@`E#(odiCnXix*p3TJGJu2eQy;^x)uNe}DhLzyM4pCnx9U=YjG5{ks@{pCyK| zkr8eZ_|ckWbHR)QcPK~g{jFh)m5!5XCW_V#k!1Ri7xgTWlm&W42Z@nwdF1_lPg zF)riGnKR%5gaaZA@c?V^1-yXR!Zq-*udffNA3uJCv|qV$B_#mXbuR?sC@l^-0$VfmtZ$LI}3N6o}PvaU=J`bg|q_(=5UR_y*)f@8yiCaz{_jbuGQ7m zm6eqN>G9*oAO-G<$K#>KAS0j#tifeaFg`vG7|70-FJC;Z;F;gv$msm}^KbxSaQpUc zAhox*!yGms!Q~(YNFV~{;NAQ8?_mP6AgrH1fA&OJvVF0Piim&*u6#(}J&EPbMYiw-1fB!yo z4UoVo@D#4EICEy{d3}wLP;*lw6c5xfY}_wBxft*fsty1pB_)t{;6aJN1|O);oagVYxR5JiT2YVr0WdC-6IXDd#(6P{nr%s)MWI`1~dO>1SQ&W&LkI|5qyLQ#8 z6UUDO1Jr;uY|vrgF>DY3V3e1aS65d<;#ylxBPR#jDj zFHk)d6&0x|DTkt>xK=+i;M38#xHT(RZkLm*t*QC?^$P?8q(HC227(|v!NI}%)YW0B z;sJhPzyXL2Jg*C_TD4nA$=BDnw4|h|v9Y0{!Qao%P+#BK#26Ne<$(Xj;GKtFgjRx1 zfB_Tet^avV<}u1sQ$4NRT#SP}5Vj$X!RdjK&(5Fcon1azS_^r{8uRjP^$n%PwUiX~ zt*u;xgA)=HGozypI63%gYUrEoH7-s{`P+5>3+5Cn5%EBi&wb4y}!M! zubW#~c5Y$OsWa8*>I#d?EzBJe+PXZ<5~Cn7WxeQTk#!q)De35#xCEshiO9?gj7jtL zj|GgWiHnn4n3s3-iNdn;HTAi9g=Qx95e|Mot?T&`qFYRz!_@a7j2-luB(%|Fh@+E;t8rIxyxsj2Is>;iD=e9Z;$PFK^aG&yU@7e^yAnaxV7`w;5;taMCW z?EIqr;xjzsQ{BS%dxq_|whvNMF;Y`D(AT#1@sCZ)I+|B>(+{H-n?tCp`$}!oPSDISVnG0VwR0dn2D8-wPUbXcuGiIdg%T`aVgm` zu?JOl*+9ZM-<$4I(6&T)N=V3wZP+9zC?qGVsAKGG;TjHrgv|Wp{F7mE8Ls|`Uj7O0 zA<14L`vXFgoL!*o6V=uAQ~lt3Q+G>i9c@!br@&RK)~*zU|7IX0yjFCNhKZ$HM10T?+e*^c}3NeG~R)dwGO97&>v*bv<2^h^SOy zVF}Uo(qdvV!ouRBq7vd_vJw*84Gippf)dkp8x-x36Bi-FCIT{=((tq$8H*?&9Kd zLPG06<&GU{P(X%;4#ox!2D&yWsYetQRl%H7rz=*hw6b!?6J|er>?Kp+(EyfH_rTS3YsURu6qq6b>o-jwDa$nK2 zAGnc7Tyb%gp|PWkoTAKTg-x5bYeK%v-3|3^BO_C^G)-*v43-!Txw&gruGZIcZoPbi zMh6va0O;s?_bnsysI`57xqGmsN6211JJr4BY8s~D;ro-5v!%tQ8-Du#Fwpe^0z!s{ zHWx2mf!|B8CWD|uM^4x|25j4@p{k28a}C_3YOr;?n)rrI4)%Tu^6I&lIp=}ed?lQ@7ufP}=agpn+M+5#NgaRb6MNPsc8u`Crf27v%sHa{L4 z)3IZ0x8pcYW{%G+a(Z%R7CpP3T`zkz=k(G`FTL&MEN0HhoY~ae`(BjZ@0;UX^+v_CN1`zYLdc`0&H$^7qQheh>e?S5~gd@z1~iMF0DH_>TX| z{?uib{a2@1_AgGe?9&>v?2qvI&+zZ})n-}pr)JsJBW76&{{7-dCPbTMr{QzyXJ*;& zp#GmA{y$;c|L!u6{J7kl>TEYRB3WKO9!+!Hwtlu1HahlE}kZhm%Y)cj&GZoW*fnlCfg%vU!z%&+isYt?-H@m=%l2M^89(;Mb1 z`2MG-FUZ@>BQ>Rt1z2cMa*q5iYQ zyXMB#hi3f4xY^!0Wxl)v`L>>!FBTt~U7Z8wKxdcfbhShNhvtiqzc8n^UYY}UUYggo zzB0>P{bu=*Mzb91ogcn18#7;-T~Igh@hfu_;^Gj~zxB!-c<|Ev;^WWEyLY}cKmGV~ zv+T!C^Gn!&|I7=syk*oJfbBkq`u@nO+4cCf+5g9{&4GXY+H8kBjgg1uM&>i~;hitc zeuz5~dtr7xe{FXCBg8#;Wj=%Qjjb`++~cHrt=RHdmpIUxIBHwDU+{3ikiXEPt=eT>Zl<^IGO} z^V$akX4!ji?$V!|<(=0|XO+`zOnzZL%e*iL9>a0Lwo{O6D*3`(h4rrU(7woqiA#BT zx#{!yuwSUxTs3G-l=QpYFmx(iJ`n%tgA#{K^ z(?%Q-;>@8)=MZPwh$BLrITYy};!GQHM2ItoBAr8=X(NsZapq8@bBHr-#1SFR9Ex-f zai)zpBE*?Pk@8)=MZPwh$BLrITYy};!GQH zM2ItoBAr8=X(NsZapq8@bBHr-#1SFR9Ex-fai)zpBE*?Pk@8)=MZPwh$BLrITYy};!GQHM2ItoBAr8=X(NsZapq8@bBHr-#1SFR z9Ex-fai)zpBE*?Pk@8)=MZPwh$BLrITYy} z;!GQHM2ItoBAr8=X(NsZapq8@bBHr-#1SFR9Ex-fai)zpBE*?Pk@8)=MZPwh$BLrITYy};!GQHM2ItoBAr8=X(NsZapq8@bBHr- z#1SFR9Ex-fai)zpBE*?PkK~Nr0FGw<8FSbNh6e!0B|z4!Z@0p8u&x+?6Q+s(1B7W?zN2YaB!cp&;9t-toVRa_jvJ3H@9zyEaZ z@8<_v{oPx|1GR+rHU8}Y4&`h&q0r~Pc70b@?1Qtl?c=b^zwOhGbvWMHId}WbT~+$( z+H+Oyx!vY>_?`P^JLV49K7s=WZr8sV;N6|=-N|P$0^(eZ(&1yo`S6CtWDw_Klnx&w z&WATFCWAN^qjdNfaX!3ZF&V_U7^TC}&6y>VjWO?>w@;0L%J0-Gp}k@seJ#E7>{tqx%~{oo?hRbq?9ol?9@*Vo#M;!@ZmyBtJqx-lwYX_f?9O3K;*rjw zB4@6vveX!sZA7s?zs#+yT+iE#s0O4qtut+J8O@#Tnc0;@ZsWzVm4yx4RkwY6C3lYO zwr$!cE!S+~OUa#QRuV=gV{GaUaPASTrBa#dUqa zyF0jM%x&ZkW@B>^ic*^ksJAhvvS5%}3?ntSnX?%g!`kGEwToG`Wjv-E-ZJ3TWidM^zKE3$xIH>nh$~Q2oMCSCMXSk$+3wpSu^Z zJ77k{qt*xwL%RyJ1h+x+@=JdsJ?pjLaUmerVGoyOlScFZt zc6N4P)yPIyX6vhcuXR)yyllzsNbN7vW&GOD_BGBzLb z!i8Zur^E5V!NKL>m^!rpt*-X!Qx}%-RHqiywg2<;s`DBhTYZCy{?>-FfiDQ+B7?Hx&cpw<$R$x1WRvtdR(8XMRgyjJ{DKB z+PUX}LeJPk?&W8b7;I!CgPpi_=;9?cSOfIQW5EC{;TtIf+L;==w>CYb+F>l(H*#!T zaZ8E&^N1SIjKp{tT72vmE5KtUhSmZdt!MMhnQUY#;H$z0kEk0@CVA@?N+%DJ?S_CiR(1Ul2(YUt3nzUpHa^UmGRqWa`!b$716xun*O*m5tT z1_Hg)k-x*!Z_Mr9SJ0ieC)M?l+MXC%QWqdpz3pwV#N$Tybv3f;?i@Jv(YET&*tbut zV{bMTr?E8O88}v10V4q1H8Es6qI#XNHD3jV4u`r95Z;=8Ap~@>iEtM>pTkifM)Rll zTYa$j1L50hSTVM7NUqO0kBs!pUi-D)bn>@q6sf(c&tP`wB5ZjKwgu>M)uU5m(4&2D z<~pY)Q|N1~{!(we`u4ZM5{#<8@&yc zFp)*E#fkZty7afwed_MGv8h?fzhacQfldFueeIeB5f`LU(X~4O`g-e?+crm8V zL~7-nqq4zsTJ`7T%EtQUm^uNO)YdaK0j|5RosFdj$6YRGWzDe;a2<@Oy(Oc+t#slQ zvX&mQ?<9DVU{?3mx4{xS@2Z=cu`~!5WyiqP7~WBqrbD541TWWQB60}_ysZnJfewG0 z+jVwS^`zwX{M3ZL^F2PoF+F(RozR5_~-|T_mW;Uu+x31o4!lk** zzowm&(d*rnt|sklB%*UL(yH?~`QWnZ&NZ#eTl$Pd&bZwkm$%iA(6{w4oV|4183gE1 zFEmGOh|HPn%AV&XY+W%+NylfH3*%9wV$fd$9jESL=f;(AdI^RHWA4(RI-;%lP$1}Q zayML7-PXvChkNJM^?d7-Y31Clr^}he@lLp~(|S-@9P0GBj#lq+exjV4ntYbCv9+Fe zhSOwO-HN48s2O5yYiLkkIL7%ixUI+28ti}_=)vSxq|e)cJv z$yFxv>+w^;VCS&vZmY57fi^D=D|UZ=+Td|(=~KEtXR-&KRp-66H1Tu<`*W2q6xPX% z^C#R*%?RxacJyBOjlOS0+Fj08)uY3ML+XmcM(kYA=@tK_l+N~~ZgLR2^RZ>TTPG(6 z!|82{xpQ6@Er*~xH?==i*T1?eh6dG`YHTg5JDp?Zkvhy<m_D^a6If<(yjYuJtzSaU+wA#$qsB!II35E#Ta`$`|ZS>oFpFvc~BF=;mf9n;%kV z)qugo<7&Wrp_;=WGAujmZ#~;6?Q_aGeOJrgUW0}PjxFQWn7tjhnBnFZk6;JR4u=E5 zwkG#u_1uSU&#<417t=%I5xmvHW6HUQwKcwA=z?}mtc=vV)YSm2;R)?L_uxsBr=bBRApvNs zDuY8vZNB}quB7)t>($261?bKJf0w$oXD5c$ppnHVm$;gbX4Ads{N$z@%#%wK7oqc? zQFlmuQdCbWj`t&Y$s4hF`-ulAlU!O3`Sq}Iz}Zn(<2m50$6(w~Z|B;wI)lmR%H;-6 zi$8Qh&xzqp*010M!LS3)y~Apnlu6!b$DssgcfDzSv&BZhd&IYWT~|uynd_=)sR+)O zgT6lHvl=-MlO|(n=mLz{adpUW{2jrzCy!OVk)4S5cKDjx@2Ptc3?l0J#W8bDIVXm1 z!c+wgHajsDgzj8{U3gZFF_|shpB;``=jr^o0sVPxSj`r*=}<>UM_^iCDYrH+Hv(#C zT2JDPvE5(QDd;wTNAEID^r4xN$I!*yUUx9CHk=!u74+K<9VHx}d(>+pBcX;3e^9rfyBip_@_l zthY7a>(`_6H=P~#Z)#`t?x?Cs?lcv82u|t({=OTkQ{fQ;CS1bs=3p4RR%$#R z3JxqkQ15S&JTs*-15C zDEv$kp50sAUhB|u&NDOc3S(nsb4+*V)$tP$QPtEnukQm7`rPjJ(|QHp6P@+oAkmpt zGZ1*Gyx#M$vIYi@fq8wN;5V3=>Kbr9Hmz@#Fc+E%PgvoJM;mW(H!PX+%!2B_sfg;% zZGE|EQSz5VQy|~rhaz-_N4(&vd%Cx#;JseZ2Xnl}$`Sqk-AGKdtDD`(Xl~rWsonFGG;oH8draOAvNKW4C@4pd?>A8fFSO;h9(4E$l4Swso z(tqO2nKNB=aE3v>n>^0i?se^&jHq{?@RC{26R<-kCznG$ zd(_B{8_7BS0z)CgPlT~_9~wY^*7KT7YAG6p->6hQ^yll^xdY}BQJliS%lv5cJT6x6 zP39A0Ded;9=cS{)*A_!@Z#v)(tbntBAEA%PXjExHuAJ9 z=S3KiFRB|Ny!uGPF980Qre@dCI>*MQ^-!jtRP0$Yf-Fv~`I}wpcL9{N#tnRbp$*|o zWVd4JH7C|qdfF^!6<|fc4kG|oV{`LxDQ`<1bPP} zOIVhgEA|<@WX@`+jK=VXl%T(*Z({O1T$Hic@D$GI{64t5^bJi$qp=})8+{>i{ye;V zzy}(Lg_|J$7&SAB@v+#@#a17MFIvzK)Fp1%|EP{`*}@2(wQ zcii4G7ZI7b42V@QK*OyNpu_R$p%{)S){>lyMXo?ZU6se@4-esX=g&v3wmV&o8ah6I z4eteGqeZ@oE|qh44=nGW2#0|c9y{E9C;Hz%8HPp8J^&Nu6eoY)14Uqa>hzftXHJL1 za7V-%kLtgUo?k!_ZlRqWXV0ir{1fnboVj7c@qv!Z)#4ZU)wfv<8xDuJWnf>GT2X^h zy%*nTv?;j|ypoILm*F!f@qbyUaW&&(3ofVo`r6^Ol2bzmdQYD`2Zvbfl%rJ6s-xAm z;zfqeO--)ax(0letHx$1aJ!+qRWv{Vj>v8gy!V9#zBYSYYGde3dhNntVeUi({r+Qm$f*TqwQ*}}Wj(%dg?78V4UKppt;hGAYTe*(`CC%wih5Y8 z8qpPRTh;aTwa(TS&Frc|&bs<~4;HwO*4I~ho8fD-M|U-Bb8RD(w6rwiZ4s7w3{VUF zESS3s!oA9+3Y$G{yB;#?SEjg`w+XA=%^pv#+8UW)|26o$hgX+%64dEv^VmZUzVCo- zowfB9j#}OO0lKOd56n7MxC?qIsk7RBjk?}Ex`R}!{)4@u!EPoTit58~$auti2VTcf zRb)HYIk7{*((K+lY=E;a&j0mzT-LdPCg8L5{>5#NOgNutbSr6fGISsCcq?^Rt#ql( z9{bX$RpWUx)YGsBB1-OD-QdQ>a<28LHMja*PdCMdweY^a62G|j3tHip8Jr0f0z>=0 z1FdefitP`Nx3)e%u6XTY>l1!%-LrXhjki&cy!u=~kTtM798SGISFPPAY8zA|_sl5| za28xGy)*un%1FzLp@Pv|I>UCL_H4@h9T##+w&{$VxWzBu) zi^t0IjoM0^O$Ag`)H?MkR_DRxbmq>2wPj5{zCsrr-M9aIdkcRnxpU!N|K-$FR95Ad zJw1T4f#S@mSvZ$C7q;Q75ob=#!nwq`unlL8ICE+i&Lz%;Z8&ShnNzcHE^#hw!&xKF zoSKDmiF08a&Khy%)GVA!oD17<)`&BwX5n1oT-b)QMw~e{3+EE&!Zw^W;>@X8IF~pV yw&AQ1XHLz+xx~4!4QGuwb7~gOCC-IyIBUe2Q?qa`aV~7bStHJznuT)}a{gaUp^GK} literal 0 HcmV?d00001 diff --git a/apps/plugins/bitmaps/native/jewels.360x400x16.bmp b/apps/plugins/bitmaps/native/jewels.360x400x16.bmp new file mode 100644 index 0000000000000000000000000000000000000000..5cd35f216231af87203f6266a5f0c2660c225db3 GIT binary patch literal 140814 zcmeI52VfM%{>Nvpq>@4sIsrm2iUoGe{+kT|!|NhewzIgnEmV+Y> z{nHY34yjImYCXDj>o&=@L`O%3|#kSnLcW>m#kz$l`;^^DAZ(LlQ z4TJsLfP;pFH&RH|@vD%U^V z30IC*1@FnJEAQUDyEtv}df7#$sVKX0F-Mt3mL;Tb{^J!uD@!$ex#RTLX;j?jtW8%vIEz5$Hcn6tpSOzpa{DQuxW$2{)6kyFRS(W0XdQQ$*=iF|+~Pnp?Bj?v zS{kQ_qm@JN@2ib}sQP{6OL>e-srvo$<52ed<;0=t_sfYx)$f-R2kH{#Mnd^*fUbu>-m z{2IsVOA<}%_`1g7dXJ;&9MbW7T@PIkrHQ|~edzX~+lO{{qn8)Gyy)dcFE7$kpzERQ zp;RmU1?@x2WczZ6qjf$%F1D3va54g*@6r`;sX$o`-4o)*k;`uA-mN3+9``)cPIc~Xko{ii3+?08(`5Qx_C^*o>77RI{A z@zO_|v%G#OWe$yp^EjGTJv>f8;}3NXsXy2C(DhIn@zw1^w~xPseU!r(zLq5Pael=% zl>X(PQ~~8KybQXmBCB@c#T;c9Ss&*Y>x^~PF1$E?*+tgJ`NcZx>$(C?6worxUk-8f z`TTmn;V;o|&^T^X*3tBSwq9QJ@}j+z>E*?<@{;HG`+_+4p3gtvX=^298RxiJ84#lc9qHXs6RD_a(};^^ib{ZmlKD6eP4PVS+&1kPWhr= z-T2A@mJaN%p_nyzMvHtgQU9p- z6Ds@ta_Tpzy7F@3P<7?y$D!=X%ZWqPm6sETsw*!i4pmoPejHLyCEwCHq>AWz=z2&M zkZ%3+>_hkaA`8&{zH~fU_xn;kU@FS}{eNElAu2siR`qdysVMq5zf=$Rw#shY;h)E$ z?Du7Qs6_TSUsU`1Vh;7l9&@PreKCi6?rQ1$y_4)w?$bEx+B#T@F9 zJ?20%%6?zWp&q#;9I}*3w5fAQ<biRi zfY$MK;HGpc&@y80SsXVwG%Dv=G@Zk<`KO+#t^HSezUcX)&!gANi?kHzdgyv6)e5?O z==P!8hxSsSJ*M6sla?%950CZO)}vrt=xVlpoK`Vy` z^Vr-Qlh9bibq=XL|Ecv*8M>Dmh)QIS?L&R&Uc{ju*<%j%p?eXBdgPLDs0`gpIaDHh z%%MJXFXB*->@kP>(7lL5J+j9fNJSs#7fD^pt0l>o(nR_DbLjK=rS?MS<>>SI?V6O+ zJSA0EUQQgUuDtv>lwEl_aj3fTa^g^R<>kbIf}!m9%a6leMxCwwtvU4P^Go}c8k;E| zN7Fj);Bf*Pf2eav^Ig|N*F)*bgl-?Yef-VrLm%fCne?A}JlR>R)YE+$+XuQHBIAH& zPW4b3x|e2=N@S1oMYX>##!!#!F^6h@U(BH%xg;FQ{e2mSN@R~YRQvm44)w?$bEx+B z#T@F9J?20%%Kd#YhkE3aaL7_B(WcHJl~21K`h0$=i_z!vOZCubNuEX1`*TvUbv<-F z{$~AK&ngCuztYRgU%R~M&*zuspVR)Wv}GsX`deQYbE=1O7rvbIQ0>B(6NhRSzWg|p zyYS`2q1uHnCl1vvd^vHTx=`-#mmi0`=8|rg2}g3TeBAmiO-N8;j zq%8f~Jr2ay67Z1Oknl#*gjBZN<4`$R3BJ}jq~iT8^iX!?(i2vBt+42a-{CH$;v2m5_}oL@Tr zuaEPKs!q>V-SfAerJ?0le+v#}S1yx5IkM+^sCMDS9IBB$=TLR!Vh+{FCE`%-!pk_6 zBYV!F>dM6&s*yeCQ0>BtIaDKi&VgiYb&%iV2za%CMhHaH=buXFFY0>edg$}l_4-3v3UobmJ(Ow% z-9B{t(CtHeDbOBMZ;we!mad1chf+;LG|uPuAllcD!9NQm;-0@XBmh2TW}~3-OKDpIkM+^s1DtWIaDKi&Y?PVFXm8< zTp|wTp?evJa%9grREO@x9IBB$=TIHG7jvja_M8LB=;QoitxI*iMEO#RB!5i~Eo1ol ze12(T8f_VUKEG5F$NB3hS*7XsHMSoeIZD~@d(2VFe!nCfrRn!Q<|t*q?=eRy`+bi& zP+pW93GVrql5i+H#lJO&{(OFEy{^%WJdUQ1(@FK#_0aWD9Jlniq?PUubvxDV^e#h|O0ubQNY(qZ z=%LT&mp%eWpU*GtlDO63)BAH$@pL_OJ^m8?TenJi*+tgN%U`>^=+Ebu=AYwrWN9PN z$(DQVLXAc7Pt8%9{e6%1DCPdX#~h{H-!BPAY4-O$<|yUigxLGh{V9Eu7|FNQ~~K$`Pql=_eILM%(EYy10(8GG^>e!4m+Api(fz)tyr{0~{zWR0u7|FNQ~~K$`Pql=_eIL< zeqZY6biXgv1E!+;eNlN)T`j+QOc_Pzkm{rB@lV%7_xmCX(EYyD&*^?&ss~I(_xqyq zqPqHb_b)RO(}zx9tSW;L!M*wX8t0*4QvA%gW3v*|wLIsC#c}WX{2DnBEu~WdX0vGz z`h0%rltk@HBc?tdS1O*ahpvY{pI>_wqL&xFyy)dcFE7$kpzERQp;RmA_MzKHx!cFK z9tC)>oyLduAewv6?a^q`+qx9a*_7UAN-Rb-v;?=G&##fg-TTGder``0abOhW?>-)c z(G_8=N6Sk2>7kGFi}FJs=a<^4KF%-I1E!*n^NY%h>T3DbW6CHxhg2V3kAJ!zy5ARB zfbRFDeopuMQaxZQy5AR-7uD4=@h|%G`8^$B(%5mAMbmU0s8*eJp_LkW7HZb|mn=!A zo9Z0lwcB!>LDecg)PH}F`$`ly)){2}j-fPZ~|$i0TvI8@*%P#M|@UE}qb@ zXy_9KFVsKP*2Rq%hk3;*-_&9S3zefIg? zuO}Uu8CW@pM=_x&2q;#LdJQ|8Og{M9%g^hL#1Ug}eU4u~Tlhx1{O9kx)}`sS&TNo6UHv8fWvyb`J*mpm;HRF{V zA9OE#?UDT6&8|HS2(-fFt}XzgO3SR|G@(^1GE&_Rp>m5`Yv@AR_8L4hH&H zrCM|B;!K7<>ju43JmH0+;ZGL~YJL4V0RkNL&vghp&@k*kgRuR!u&+TF!rlg9sSUz* zH3-{LKP;tw*w*@C$@RmM>W3xN58G5PBK?v2dF>nKb$BSR>ysz@_c{Ig;4>pfo|`!N z!t9}OqfEZEehRD9Si)hd;>}Lo>1XYX*0chh$Je1S#?Sa_6s2@BUz2`h_tal>eR8oD-uR=897dIb^it*xr16>e2d%2Ac-VXo%mlz{E5eWEq@6o=0ev;PzfQ) zG+R}Rub>w1edHXKtMVK*6ge_mTiu&0lu3LBjpsASh7$U11kFuALp)^S$3|`}pK*My zIda@b^{DBqlrLGWxg|DFD@MV&B8_Q$G7c!A8zZ;{X6_3!t>5655n;T6`@q1B;3bjS zN9C$KN4OdXd>chEQ>P!pXV6^!TwSAt#zt^o^O4a)fN4V#G!G!jC<8U*YEnC`T!nIY z)$&u)BfB-Xl5czf1&6kyn5gVB6zGo(8fyrRkD%y?3`u73lAwIyD`Xrbv2rxBmfOlz zno*8AiX1tuX(LD(1?2+vh@t`gd6dvi5gb%Ry9xTi`#=(uVMu~93`wdpJ!~A67)OK} z$2z_}CPqQtBLSQ%(!l9L6)8$+LS1f^7q^s`1O*Lo5CM{)3`3IYwAmY0$QUEU zDPMA1bKiP%3}pqML1+gdgA`CE8bg!na%;Ug#D^rXZrBr8g=(#ajH5EoQBRR0uQj*P zo1);j$RGt2h>w#-VpEKvo9oh_AU-5n#GAIbTB|ANXaYUF>nn4#;o`lOP*6`GgHSFY zz6~XGOI6=ZZ8OP(ruXrN7$X z&8^|H68VTYU}e=>xKxj@%Df&8)i{#)_9@N}8H7FrG6*(^404SUx~(pUU*RW+4@ppl zfh$yNwI~O=iPmx(R=F|Fm&R%wXmDsmvAN~Yl)Ba?Ezs9^(=sfs*5n+Oc#b9kD)|Bq zS13pTs-RFHgHSFYK93T*o#y~OG8B?PKXJ8ITc$^)M$p5jsWuKV3Nju?$B7~VXDFdN z>RDU0i9R$pk(J_Vt&WrfIRp-Kp!f76^J0J8hOpv?I5Z?bzCCAX@kF%eo+%vM`by8* zr`p)V$u?-Q*3N5FJC_eRZE9!pA*)U8Og@}wQ#+$g{nL-t%6k~0c3$iI^P<}4_3VCf zz`#>)4?8{f&F#a`XO`GU9a|SNq;hC%Mq>7_r!#*&x##D@>wif8;=2`SxW93898eT; zP4%lb`Ol%j``XR1<8RMG`!wd%vZ@i)0D+MN)~%xLQv|+COck#T_i>uYE&LD)*5i19e(w_D1 zL#u|xXKn_{iC<3c{`uhAACl(YU556vt7Me--+!$Ox-jTBpjU^k)XXyrt2eC4Lr4ZA z>>NO;6=6jQt5#`KRx*#0x9jJFYko*X?kK0{^joy}AIRr?!%>xMR7uR;29&rTQ=F!xyt7lm%P_357d{PdQHO^hRjWVf2!TD$ zIj}ptj&*phO3kWCc_|4$?NCnA>9??qQ5UC%w~DYqv~UWc#6eMNKgvc5tAtnmB73pZ zl$3Y&Isaz(!&V6NUR^<`aEMX-s{~Z&5aw?1t4I6wSkOgMfKcb)Q64GL_$eT&G*gDq z#KEIH8eFlCXQ66!mo_1Wb7`mINae6zQjW&Wo~;qCG(fBI97@E|xLMD@pc*j4N|l>v zOwUy`&pDt3gWwAUK_k~y8d~;y$iYKUw@DWxt$DGO1jQ2$F$8ztea0`o;HuO1L`hKG z=8!-%Yi3%#+7!Fd^v%~?jS``_$031e+SIgq6@`e8GbL;?EnCXfpf>B7DsFH{AeuBW ztr9^bC7O~qn^vvhYFdrPU0c!0A%SSz*tBY;T?q!Ub&F~HR@1sQA{06>sxfHdkU%tQ zY+C68VrPnJ*ACB6)H%cuq+vtTiWSb4U=TY}OetGw28FXGAPTc4Ra7`65D|6BnDNHN zORR<|&JHoe)@0NEG*enCSEmkdwVF_rI3y5tBFN}*jsv?B>>`C+KJX7jU zt`2LHs-w8%a1Jqf8u@G%nIB_}jWsdDbWnmpm`~=IbF+CAn=1TxzALkJ&fx@N+yr8S zAm1z|t5+MhY&OXu_L|R7B^ZP`FWY=7mu66ewQ0yMEjUGS!ofpWt&AW$J{U)25UW;` z*o`E4vvKEk6EjTM#6Bkw6zkk6)4?>ZZe6RbxKKsTp$@Uy3PHASBb$?qsJ`S7SxgBA zVZLz2eCeF&$U&~25{iUF4PpthgT%%{2?jwnZXl~x8Mkc_Wrq_8^OXzcs~1hj4k@T& z=Wq$}`D_@bZ|V+i-8wnM%2nKg1;%-EP5bxqHsKNi9OldCO^5e$bp>0Y!(u|Pa!`n1 zu}yqvwFw@Ae6u9r#NmLPQysH|DZwC+JIEstJ2hL%0f-<0 zqIMnffgA$a5s-Pb;>EmxlP9<>$z;O@B8Cu9()NgL!Vv;CYd(`_+OwOB5DK$Z6`}SN->Liz%4(gJeOmp@Lb7qD)Gu@n(VOp~aqf#tsjJwc$VdHez6;i+c;6n$7 zUN}4S()poRE)KnRd1(HXp@r9m7G58I`$qJ4#X{(J_N0#^%A7ge%9R9FJ`&~)(|nQI zgjfj*bTY@Zcelv<&<3{EPD8^QGPIC}4F?}PB7rEnKD6N4@Y_WK1Y7BMCQpLGAQBQt z$~FR*i&NJOVdtQ#*ib?nHyV5*-Kqo+G4%SC;kV=*pn0C6d_glv41qEgA5Ye=Hyu6f zT#1M}?3~4IHme@sKnMwIz+!|pX)-uBYp4xk=+#TZiwk9Xu+8UB=Ry^l9ZU%Zv34z4 zx{NGXWJ=r14AWJ1uypY$;gFy-Yx){cu3rJf(90Kx7Z*6?z|MB3bGW2LnjK<@m<43k zTr&ML+2UCJUOr1f-Pa+>p zGVa>xXqZSy9OQbi?<6Sc$A+FiJN!nzD?Px0dZj|vF>d{OnjK<@aTCduY2>2`T&-G; zHlfae7!^b7v0qk#Dn}0wFS_oEBZ}vsDCq~eg^Or*e8MY%)&d~LeT;6nG6a%yt_LF( zqYTMDF|6>~u%he3ZsZTUSum`)aM-P)VYiD=j@q9)>3?*;|KWWV4(;`hkF`PYN=P7- z8q7)@RFx2GqoLA$_q~4N_|VH2sM*4J3$CJaqapw5!~4?65?gjyl>o$asS-*MDtcH| zv7uCM-u(5POc?~~+=qAV;%#D%ND22KG;uV!@7anW)<#3+7A;;sl`AMgEB-4NAKJE+ z%qkItCJsabN^nIhN|lx^hnzWy>|hW>FI{Mrm{<}enCdF_!6MmE>M*mXC?|8M54v<= z=!J8wHpY_i6A3M%)5&;o=}@r=;ow-&${|Ck+N$M{>`XumJ$I(%nss)F%0dx!1HwHH z8;Z4yRu!ti9iBNwIhYaxgp1Arj^HY|p$9tzY$Yhzj`BwO@dr0-B;zNL5I81ddr{1%f6Q`HF=C1U!-6VtoA##9xYuo%V8AvB3; zRMT6;Yd0|;B8EF64v2Awm`)L|J;ZlC@t>zv44_n)LVVZoDDQ|+8VV>@jymenozM#eRM_{rn63{IB{|xae2mlwUxGU*J){z(cJbI54E&p&|Va z4(W%L{e0Lrq+i;Q{(Fb?-!r6tD#CM}chao%-b%c;6YpKb(3vGyr*0&ZM9yI}4f6K8 zCIq9;{-EHKLBZ#Of-VIGT?+~<3<|sz6!2Y8z)yVmE-0|LYx|;@F*jny;IlAhOhL?; z>oH@l#f-TcGv-Rn*h?{EFUE|$FzS^&;o_+(~l&mhWC;Zu7sw|A4ne_cRGazIET{j9h>pkiu3#r*-n zhXaDs1A?*wf=&hmp6l}1)tJ$cq*$bi7zI^JA-5;+ z$Tr6wejxqf<~flMUx|F^dgMcekq_OBY;`-b)!oQecOoAuj(n)7RkK{;Khqw}9o*ya z3)&a`Qf~B1Snfwj1nAlj`)3J52mM7IbIdlr*Q7k1I{Ujc-kpSaNs54yV4#^>V99wksCeWB1IYCQkAH-$$T4Ub!fnnL{N10^(UcUV|zSXi1P zN^syA+VK&BVSu|F#nCT(r;HNy=x$nW{pW{w4p?fgu-aT539mO6Yie4y9= zHZc^@tih-80?j7#5guYQ@%fe>*FT1q*no-7^FoqA6N&e$RB3*}G!HR^oCDkR>XYqC zB}L&n6RsB>0OFn@hNp?)DPnk>7#}0Xw#10RpofU51u-?JL3vcjgj+bR<3YGgAcJti z!Gsa6_1m;5wf~_vPL-u1{*>g4_zO$|D7D0G2f}qerw~ZjB?rFwQ;eUAwJC>nB|XX^ zc_E2E6Gy`)-7sGEzY2|-c84nTuVIsC4EzI7Y<69K|C`Ldjhptca^SzR8&Fq1rz+JR zKC(*f{dRCuG7b^66J( z{&KSTTe3EZBsLAA`&&l*J$-E`x>vd#x0*TvBw(TQn$H_6L5Nsz9s}TBRBRu&;aQYHGs3iQ2 z$%wS-(G|b+{}~~p{jq7jlW^)ElJ}AJ81mj2GIAVwe+u~+5cA2L#bn_cvNE1*+(x$S zC3}vNBiSU|Q3hepXfoPS3^|7&Ni$v&hH@?HYAhG0CB$*@@ic7O#3djkaEXar(iU#3 zAWu3;uT1Df1hd&sEQXvzEXhinB%s+_ZLby%r*Za@y#mMkP~2Lh#ch2HV0yakuaxXIMKtEBnN9BR87?}LNeLBm!tv(5F^P*CPp=9w^+*c za3#sDXNu#D@s24e??FjUAzSy5-Mh)oP(mN-WcHF<22ymchbu{rH8`f6s_feho<|OZ^^n~63mO?FDoWY z43`|PB*|=+=_+B_{*`3;O0sl4Sp!L4A%m2~P~t#ifH`4tUGhV(?~{CzWJx-1uH)7% zA~8$JH;`l%SsqO2gRQ*0T#BK@!AoLoDvRrqlMm)OCM?^tkYK9Lyl==tNb&-`3{976 zHCo}Au7Z>DB1DBG?7?i!0-M6)u)N&egK zroIu8bV>B57Njehi6*w&qx75nvN|Jpw_6aD9xP{}%=nu#Upu9(h`4M03Vrb=X zCCSaFi{cE_`Jop7lzf7`K${I59Y{NB7lhOZmpn`Y$8i-@%B}D-L6WATx&*m%IN~rk zmXL2|kWbKJg(T7BZ6D$T2ygEISG{}Tu)>N~4*Wn=m)Nc3PWM}}#yB)oXOiht$s|Y; zNgm_1fEX&z8WkY0;s%E+Np{!Si7IOj`3zZu7PYTcj6m&TxW|Fmf+Q;*wM(+E!JdWW zOH|~LF;RXFE=$$% z(-RJvB*z*YL6e7x;o}qJn1+@;o^ar}Vk=3nf2^0SogqAzNK4w{I399{CD~nXr%jAt z@uA&X_mBf=2$D4Op^vx2qVQN^RVL3lcuB06Q2^oN>$w=9^qhm2#Hs`pqqfUuG^>hH zQVu~9AK!{v5>tp%B0X^2O8m1AT|FgQAz|59CFN+;q?><*Dzbxmuvt!+5iFbevhQRfE;C0>L;0bDrG~l?-NW1? zLx>mc-LnPmCfuExUK0my`3R~gBY4QrVydC-TJGijl;eX_h7a<%kw-{}H;5PQUbDs5 zx1z?XR)qru!IZ#yDH>O}xsaBI7N3BC1+M2dKyV1-&Tx}2a-Uu$Q?kjE|G|UYZ7{^w zFU09H`Nc)XB?m6v;@Y!_fN&uX8XlM;5Lj2DHc*Za&v272a5JuuIRzxX(OyViSdnnlZ`3(BBtoJ=#Rm)zOmaoQ_H%>wbJH$!vv`R4 zMcmBm+|5rwk*q4R2={Ur6Sf^d^65x&Rp<252bL#Pf!+rwk)=4DXyUzJAm=@Sw5(USpSahC1B||9T2W<6oh& z@Tvf+N1b|+G6Jso1Qi05ly1p}m-d;uZZUU=HFe%>c=I@c4AZZ0lg=AHJZ*R{*D&;i zamX>_%Lk4BPBZn|W$Kn<>X>Z$*Efdhn7?725(6MSun`RO59Y%FNpMrH`NygRhNlw^ z1JX?0w}C($z{I_k&W$~77?o>yH`DmWG2@^^#{Ww*_1`pGIiDM#-G+<-L0FLqsKF-PB{d@u`LEHkmy1jU?PR(Z)f?6qz0Z$9yLo|K4kkDuEtAiB#fX1HC*)UF&Re((4OH z4#R+brcOyELQ&zM&&s8Lc#gBxKAxNBgyZFdrf%EJ6va(D6>`%lM>A`_u&V%!Bhtn( z#|g*aBc@(EP2IMdJ0^OF5*bT+Zk8AaY{kw&uTx1mo}KN4HV6s!WXHgya4xHV*n2WBM0i z=a}ip!M&SheD#QNz<;SK+(#ij9P;J1Np2s_r#o=ew{dj;)CtF^lZJP*4R59!UpZoYaX%_Y)3e)6UACB?1d7&5mUMUE zcwmN=gP(T5=SzL-wIkAPhM1$u^s{dr&L5b1t;*Ch+^}rJ8$dZsQTnEudIBYxq6mf4 z#fqt8B0K{bdy0(6B<~(4|2a%v-%noIOM0zk9PA0xl%uYlV>;vT8GpKW^3|B4n~REz z5x%-n{K}r|Ri~adymi9r&HL`QqCBz56$&f~E*Fg>+Gt%+EDuvNZ5;H0!i?idwu?M7 zl^cEPkrfv|y>x>Sh(8zHC?1@4z53Ks2DGx!umDPr6w}kmW(O2g&lC`F?`EPWLB6^v zCtyrdvm7`YSUGAAm^wPEP@J~o`of#VL-t><37S_9qZz@YY__6AQAbakt3ZrOH1^wz z)*Ph2E`zgvZThXK- zgAS4I+eo_&2*$P>(e5(7b_8u^+M|)7jNL^ZuwI;CQ|c%OW_zH^Wz8B)S@H3G{I%C- zWar4zcH9Kb+lTXOf09cE>?0i$8G-O=Y9EhAp&>dOJqbI<=6uD2BT}7nfXUP@&h*?aL*HejQ6!!H%`ZGbEsUTD5@1En zA<+0qXuLZNdUe`~OqmjFbL5`$Vj0BiT=U6X=!3#pp_hbSJH{`>1Z>?ohn>cs&iG=B z$;`64b;N z1r}+*vkB01Rwi(d!$$L%znI2sZujDV!E5)B`^J($`mz$X;9Uo}IiW$Lr?n7x!eOVW zV10fQP1s%;&=(KlArTE4chOGZIfs>|ZU+n;SQWrc6b2I>JLmyHNjcc>(blgJP!;!O zG-%wF?eXkWsoZ*YM9+TpH{!sA{F02UGyO_I4-9iFzcWKiPL-5{J;oKq71tiLq~|J5 zi8yfOg}sy&r59}mE%U@mp93}_u0Mc+_t?2gMs>gEdQ{=xdWqx*b_#f?A+68gP|);O zP10!u4>|CLTK2-67L9|B%&)cc6XNGhQG9%YUCsP;n!K>n6Aq+=OHWAE*V~X8-+9MJ zUdN}AS#MJgEE*p`FA%U5PdJ2UF3lYM@Qqzhri>-qhLK-)l1RSgg=Sx!dQq}uR5#t` zpwBwyTbfTjTK$jHujejnu?`W%w^1<9Cg<=8%g{E=!!>1i7(N5{-D zRmVM=IM@qvagHCn*}-ysuI1{dmdlea$2HIXlH`6xvgTPikTpf?tsDjMq!PWQf!_Lq zIUq;0@!buyMpz_f`Gn(tOMHFDzF-zjtxM$*+A*E+nVFCb?hQIkIM2IgmT+ zCr}P7xb7)S)p1jW1JwW~PvTg9KE~WV6D#F}mR>gRn=51183*&Mr}n@7;*C+*ofZTy7~?bnoiSORIb?uOwI3 zkocV#(E&5{{kkNwUV0W*XGhOwEK&xO4f&E0-MX-B9SN#V#3XxwF%9 zE7@{0)>5>_Qn=*yuGR(LlA?{IIG)^2Ao*)09LSnmu{KH4OqTtDcXm{%U6tOpkC)lI z>gG7-z_kee1jwuZZfE)KfaOlA<@Q!falGZmx_kMHZp8WAj3c)3DlrY#qY20w0wWqa(A!gc8aAq z(Q@P4U-I6)wTawGB;O~KAGebKZl`At4a8yB`{Z7lS%9z{Z(%vn_CNhI+l8D1 zk2GMT9cCj*nBxCy!6wW1$1HdES?=tx+}dopx$(yXk9@b8{J4euoI=|i@NIWDNgx=| z?Zg1fp&FK>4H<+byWyVb8nC#s-n?Kl)5=V0%SM(mM&X_+ias(0_sK0a%9TW)Q! z6s`Sno6pbN$S*s{Z@aAa=#=AROdy3wf6#KGoh7@ICHGm&sTa~#cY|lZ!7BvFr{GCA zaEmspI$Jj;msgSe^`vM6`Tjs>%Xfz?clTIsZ~Oh+`^X3o2z~@5(6yhv}uv{AV^O=dw8qm=Sj8ljQtLz-Y<0a<4O>XdI z@=gMQDf|Fa*lxaeeF5y_UYd`XfUVz&cVZvlIK8;OB@Yk-EN9<9qX`hyQD2{TBzcV4 zL>(4}Sa$pb_UtsCcme|YW7V?u|3J0oK&b{jhfjzffaO@c$D1sPoxiX#l$il@a z{Nti~v*aZAiPNgnL?`mV7o1;7?(${wC%#OgM2Jkm;G84QxgL<@`$PRK=SNyDjkjE7 z5KE|YxV8D$8z~RoUk@qr9b-D2O8?jsSzyTVlW9hvRuIah*m*jIz)r{dgB4wT9++A^ zcX8`8Yo5HgsrR+*gKr%8;7;b}Kb~LlYvJ}2`&WB=(MlG>*XS4%jP9~B;^Rej%_8@9 zo9^wadhbBE^{Gw_xUxWuRWaT^V^Buzn$pyTgG$0W%T?lqx)~^&-|AD^zZ2%e?R`j@5ej*o*KCA zQ=^X;EyFNajPFuCF!7C{`1_C@GmRFQq?YC0Z5+{^2=4r&u1+^W?O5#+oAL;<)@RDA5PH9M&yqyh09ozg__9aiI%*6`{#44yM-RI(0a9UKo#tQ z)qoKhw0Rn_oQ<|v?rEZ7!u%!(lOu8k5N0E5El^=Dj6Sl^H<+J=h#U|%((D`*g}#@V z+K#uGY??wWCkI-PhNk77<&Xc0ggGS@W)sRPRLNLgJ(M;w=?H~vPNswdD8h@Qz073e zL_&@6kDoM=Ad*CwbCw@P!W1XwY;04RZ^5G@?s(UdoP(m!*J;xhl-WeqeMBr7k(U2n zw)}RR2l_*eNi57I%THEePHi7l&3bjo$;*+Es1pwCt5Y|U_1;WIvS=j9TFe5Mwb+og z)HiE+#jKUpvQ|fAt!tJ!7%GL z>;xBB@_@?$G67_pDjc%dWw-fv;lPDpbfYmKpnb^2si>aofyOKzs3w#mqtUx^mHRM& z<}?}Aot|)@&c!`10s-nrVIAcQcjkCN;|2#>E8(><2f%*S-OJ0XQ>RWdXU-fpY*@2q z_G`ANj`8%JhK-dv_%ekwaex4`L@{P9oVTB!U+><%mn>PbVZ(;CYuBz^xpMFRy^9tv zdbqW9EDtf^GWqLvnyy`{a9{`<^UQGXyFd^e96V^ypxD^hty{Nl-n=;>Apz^_*RS7| zx-0u+Hp0>sOCN2E7qeS43ylm6qTmXNOaR%YOAd5r(3obUwhSUHEbNUp-ay*B`DNFx zUE8;B2Tf8^Qe0eI(&nTSIVTtjK3A<>)giKjh=#wm?fUAva}Hz#ZbcI`s8+4o+i$-e zA0MBboV;br7Nnh$lCpE>PVj(c+qP|h!?#!t9yutWU}fEgbx%HpD-(h?23J!JoO1}> zncB5$M@L6Pd7Lr(L}HsZZGr{>f*#-i4OSS+x8Hu7vOOg;H&aX#yD9eRE>9Z_)a4?N zWI4h%opZ3!(tv<~RjXFv(CgN%gE6jIvj(47#~v_&25Cc#!-o$S6ck*)e*NmztCuca zx^Usbx%2159BjQ~=Z@fj@j5NlPB_r`zz?wW>C*=Z17-R0<;#{WTe@^9KCzC{0VdE; zvq(xhaNqzq1eD8{F9Rs=Or8v7<(if3u&8_;C2_*Rx>erZ-U}8iK&p!uFaG-LuNN*{ zh)sMhTC@mz00cf16QJzfyEi{Szp${7p>}=Pr9nwZ(ND`GM37Z{5C?drB_J zd+)!;B&i)CX&Fg4*yu5e`;;kD*h%B;kub{0#*G_c3iyOgAUgnuJi-Dd6k2dV29`Cf z;2?MI+`V(@>Lr;ZscEV32|$qz9NIbHmRKjMRjXD=hA9s_g$yXAiHV7*#8}k{EXcre z4WOvgU=ZT0VJ33>&h6sc#W|;P1eC12tX;cz_3G7&Ng}S(>>R?g8$SN{V;mhEND1jd z08|yIL!m!v3pf;%Sct?j4xfwzX^TySnJOf?c=@8hvG2e>L`D`H`B!jN46(nE#>#=# zl##!0sAehNpsJjLa9-Nt(dHneCBS(&)woXe+ z!zbLwv17-uGo4?sjy>4FZ{I$ABIf@6`}geGgLQm`<>0}Cs9_-z^vgSy2QkiFJeQcf zIX*EVVRKT_*5u@ETa&gV_wDyQlLS)*?DZ*|@maHGO`Hc#4ySVd{Q0wI&z?DR2A}87 zox>&^7(THG`>~FgKw$=i6GigKJ0>csW7asrCo*?aa*_}>Cd7w^(YbJdIC?PtQtUwR#mepohRQY{YOThA;|Z zwNt@F+O%oIDrNx%VhG6#KtN;=fJSy9zX5>)g*paiBvdfVS1eCVN@Rs@Q-YNvesf|( zL z3#$ofrtob_{&86 zV=Mq-3)>XF!p@A03@E`M;1^kr0EJlwtG<{agAy$paNupJVll8T=RiSXlFXSi2Wf~? zWohG+?Zme%UF>G!M8y(*7B$jP)!EmL=Wr|W;Z}m-YBV6 ziWjyM1gspP`bQS9=7<1+Rtw51>NL~>a6njId+jwQhU^Yt#w5=d?8W66cx3#hOP4Mv ztF+Ye1(lT-wuw)C#i|wv&Khs{L;-;k3<6~YE{0Vtu+vJF=rn7`mZ?h)e8JuUht>+} z64cqKsj#42WZM?h8Eh3Rh%k5V+|sGzm66i zi@-}*dgDO?3RX}PW7Nway44(QyXn)XqjB~mE#n>M{e0)z&%@DMek14p2M=Si$3FYnZOt{;ch5EFTyrk| z#$(qGmH*A+e+U16JV?5V|ASQF!}0I=5t9Gs{|)ceh?%C5voabnMvf4 zN5eTGc4!O4JvlSAW%yK;^=Qm)ey)k1@@?egbRS-T=2q#Rz20~KrY9!0q=wHjZ{c=vjmqghILxoXE^ia( zXKFZan+_sr!LB4@boyA@OZRbSIyVu|nj0NT_eHzhNAUtQpS~M8N#(n{6{bbr!X;QY zVn>ZYT$gC%j&VHwL<1KJA-7oW<<4cFmO>Uw8nxJx?EYfAqejLZyaUH}P)V~Y_tEGj z8oh(>X<_CH?FcJaS!=gTBXWx0ut{St8 zMlA{2(d`|+NTr>s_^!`KNGz+-95b9wK|;6*M?zfvbehbc3srTjsrr~4@#pcXI!$jx=IzNs^TRDG(dPgm6$sya*65C_i*I$O2;behH;rr}dcd+$;8ajHH^ z)hC-OPmBE%RULP(Izd&(WY4WUK~TksDrp)#ZKLYW@KY*I_Y-TlmgmM(cvj`Psyr{~ zTveT|>a)Ea)~mXs)Ur}F@h2}+dx~GO>NHiIq^eU?d8#T;Q3Z6GYEMw@iK;zGT^|nzN#)%?FFi3d!ee1^r)QrfNGbj=DeU~@#}KcLKmp+LRDWHbeZZ`_|JN-U8b_B z+s=GY4Hu|ph3{EQ8_!ZLU2taf1<$3uygUa_Ro&^TJJSS+HJ&`UYojWcs`?z&oEcZ0 zts3^1#!c8iQ#EI(hK_^PCuqXSAyo4GY~dHur#`(lKoRv+OF;k)p9}BQ|>jMLeox<#~}l!s)p_9 zZm-|`Y?be&@!wF#234X3)aOx2gD0gf$I`B4B)Y46?fZoGE9 zIbxaJpT@$U^Hh7TsgB;E%a*HVxk@_*&v{Bsm#bz~bPYFOrW#(D?g(bWUZ{$`K3~=J zdk`>KcZ^yt@)%*HxNDF`1_GQ!T22zpw+IE*9Hm6#_R+Zc>bNRc1e0ULC5g(08$xxS zA6e*kX+G|vQrRuLx z{VLTQud>OS{#ZyU0*P=Y`8>x%tmZ7U9WnM3k;(Jje5jVj9{p9K(~osS#_p=IOVoiR zGeA!C>zPhg4KhP-B5Z*k?$)5X=-&wkYuMD1x%Znt)iHz(H4ys3`UsA z_Go~8(2NagKs>lVPwD?G#3m%io%>Z9HeYqesp+y96#Rq$oF1>o9r8DcH}Bts@%wA+ zj_O*QHN;QMQ@V{sJ zNRhGS76w$fX^*N7BwVIyfFgcPeNhie(A_I?l;1xWwAp6%!~T_<_-;Aes7+#@e{%+S z?$O9FAB(0_w&}dp@8b~{Bf>)?5r4)o1Ui5_cMt>lSdIFIzbswrvp#i4r~BvlxtT4r zJrUA!G@6)kqxNV+#8)ILhanleavbA6Fs-y(-OCO8?w$TJ#^ZQyh#Aey+I5cV&rtc^ zhK`<_gI$Sf@CsrdLbfkf?d57<;9R8om1>BeDv!|c871=`@tCzJ23c;AH^7t5f+0&i zE&yr}8~ibtFI8#Rp!rX#<%;ZXeh?kU@PUnV1Q$ZU0+e>*2#U1D^3s62d^d$?(bCOw zl@qPRvGVU|?Dpyapg1QngiByTj4TUPdJ4!EubLzWnJ?=sUHmJ*?Pe*W`Io9;l^U;7 z)75HPqo!+u)~Io{8n5(E;708g@$sFc8BePov`jMAziG5FJuW>lZI`JYRH0}4L&sNf zqy3T`ZlrEa9U6V=4^Vuq5^i|Fo+jhQl#nwC&E}>DfLuH-B%<(VbRF~H&CUF8=Tqy!?KSE>u1XSi{)hIMP&Py68py^uQMf=uR(8!Lly0Mc+?h8xJgaU-v9 zz=wp8shN2$!2v!H%K|q}4cves=l&PC!Pg`y5_A)|aZKRGcGkqwn9abDPS49caLU-H z`TYslZ|a8|d8|k~>CCSpM*1#*8(kNwc}0Lm9yj`bGV-87yUtbpSz>S*H*N`lWfUil z<+jF(OH>bTplLuCs~%cu0UJK6WV^=#MPUd8_t+wD!7;-rXb@Uo9f-kIK*zEiZZOa; zj=Rx2^a7^=FJO4UZ-yRU!;RzPbCy12NyZS5+;=mBj>O3vyUO>`*oB*M!*Z3w4GTZ- zdA90uLX}AlpZ>s&9iI0qWx@km^0t?$5!|>^jo?NC4YmLXxN((#0ypZeG;T0IGH#$| zpr@@2ndFNW1Y5iVXZjQ3ns9?R?++bG-`FMejf5Majk~Y&eVWEdPG~*)7%!)2!a;!> zcUzsXb^&zci9ZtsoWd4#66+hse-$^|C01bySbz>UszIrL6F&X#5-w9oS-C+z?T+G)ZKcC2sohYMK8 z=*WXce|DX$(jIl5uNELNJ*fY$c>zf6f|-0znWumo-_%5K1758Ny&^_*8rR+e7nkLT zZ|>!4yx7yF5wlAd{47)p7?^Y|^zfWGS=k6p1JE#TT;j2f_+z1p(I*h@J?hEK} z7xzaGy5=kmL*GcaK{tgoW)heet)$K7nHkVpzHi{h71`w1JWoPJ=W-ujHGTd081c~b z#Q`_YMBgae5!_%n!;{2~)bJ|;H&*BL4L~EOZ!|JV$>GK@aN`%MKVLN$nKD$QbqOoj zNtFH!XazSa8N6X+@c0dKzcWu+Y}`QKuxdv$=~=pxEp36=53Ik8QS^xxs>waX8Q;8x(Lpfd-ud>k`vqNDg19`V-W;I@$;) z`>R;#lC(|VmmDmV2X1s;)2|5$ta2x~nQ55Nik#$E{y=5SQ_%e353ijliF)ea#1Njy zOGYmvQOuN~>gB3DO2fK5PpPNh=>qy9bpapE=x#og=$7?~^3Z|kP8mG=0oylx6>a3^ zIo!ywG4Y3ke2+q?cp{u>K0{r+Z5}lG?Ic@)zqV>Lv zfS(u=a-MMpZlG_}Z8PHr&r>$*A)E;Xv}Ttlv4P1jDqx|sOI??%88Zb(cu=AcFx$}1 z3_}($h=~Sv(c|Z+d{32sOA|MEJ_6r7oY5{ACVApdrWJf=88xfa#Qs$_bB*XN-u|gz zU`)>eifqajgE3P!01ak35Iq8zGf$bQ?VeCGh63&%(2=2fOn{$ga`euy1!3R# z+Vq+47nBB38XAZY{7L9>oQxZb)Olq#aI%5cZ~B?Om8pv@3;+ctozsvj<3=N|l)x=` zk|`Ug7AP1w=&+^%XI821YSmrkc?w-KY@%lVGA4BSZ&s+g<^%VH;?GRcif(8Na}*`OGYW*XfD#n5APG@PQAwJ{qFBcy){{P%c4 zHqgF8OZS(B+r~tG{P*0n?zI7i^b4qxBMUGT0D`7uD@}S&tT8`8-zb@PTf8vbz{VBi zOVT^Xwy6U5JgozR2v5>t4LrLf2xJ67YFrz}?2>d@6O;KK@d6{En? z%guAIm+gdtfnBkzt8~Zb0!2}@cuD3UC`d42t(w-_GzuR~pkHtXT*YLX;Ra^6Nt*er z8j(Yq#w-s}3{3XtEKf;F15p#GX2Urz1{lD@(uKb!;YNZBnvk%9wwupMF=m?v%UO1X zFl2B#44Yas`(D)^qq?J2cdY90VjQE|V^w>cYLKxoh$X$(+v77G*!sq;LM=~&J&g{d zL>m6dV1-H#uORv~8iGrx=_IvdD30E&qt&#OuH>7-IY9`3EnOjXb?_g5&383siF(#Y zi)dE@C>j!iniNYK>tO|efR^byh+VX$WZvy=g0TXqN#`RK@uBCB|C(@*kH>U4;1%uo=w325#KATuprVlIcE1 zmif<4pogW4OX6bz8)^QyeKXvEKk1VSN?`hHWb(ewh>_7+HD9OZ^=iFV%{SQ1G;%>P zP6tLu^Mz_!uBMf0yg-ex^gNpcP0WMNSACK(j>GWf_DauxOfBHgRi@;IaPQ#r3K#)N z=)@#-Hkk$-;W%zQMy<39k64fqDZXg_pu~{zBr&y@L3B#Y@%ORkwCB3`lh0Y2f#MxQ zz;dFM>rrR(QYt3SZU2JugwmMM2zQMUJ@eQgyEMubu2%X6m}sB1hy#)%UD9bgv1zT3uJE z>q>QAuC7be6=(WmBFT7Ojbeyer8h*B$-+Gp*=@d_< z+RqF=z=~))O>0}Bwu{utYcEqrdg3K&UlnwDwCGZAn=f!1)0=m$Qs)(ZProhie2u!H zYt_9j+Cp=pMSObNuL3vJeu2$p+#J@muMB3=kW1BmnObQq_vhCu;{?v3?W-KsKr_vX zL|3bGwK}g-cX~7ogf0$N2T$COc)AaAfP}jnO3kP32*#Pg1X#gNqTq@5PgmoaDrq!l z%$&1w(sHh)1sUMZmJN6dSLsvw5uza%Swn6((mj$+OR{8^LMzn*8&{gQw3J4zw+DB z9Y|fC61QUrq?WR^$eou_)q#%OXr=AQWC$2C#=d(Wz9C?jsC$L)Z;?iRR+|a1g~`;! z)#_PiQ$Hf)x4lU{8%^CCHR(FD!tK3LJsZ@0i@MpNnVfc=+7Pgb-sdzQgs$r+hct7o zMbz>c+)h$T!||bWAtDX5LVNuVvc_^lDpU`FEl9C3`pv$KBqiHIerqWp| znXZ!ADx0CQ*(#l>vN^HOpEFg|?+=@$VVuvkvx0h6*6Roj!ll^3 zx8`#uQH|Mo^TbjwA=}qDY zuMKBL?C#5%U!;blHfD^9tIW^DnP4UyF7EU&Cm=1|(>zSXX>cq!Z05idI1|LXA!ZQ4 zJZ?DeNuwa{NlT|`SZZBrCfgjr1j4j@)s$dPu*JVljz3`({NdV}*$#{a@rF%NaifO= z&q^=P78=g^oH;`!ybn7Z*~wm>_n+kXIKu}JOTCcaaHL&q!e%+7fzfekYi>*no-h(y9o|N;S$b`n<5trh(ZH-NAykQtJ`Uw%n};Hh zzHK_5+j6ApTMoP-CdX5TAm&`2a7Dz9w?u-aP^g%c5t%dYd+yNLeX9SBYTnDJ`nRh6 zjcQ*|?MteG*ne4dud41XHN2{Z=hg6xN+)SjzRHNG4BZ>aV?)xWPMj(nO?{qJP@ zOy&4n57W#(HNK*zSJeE58h`5x8{SdP+p2k0HLt1mouIc>&;GAf`>d*OHd@Z%S-({6 zbE>0(Z>r{vpckWQFK4ge`+Tgr4^{Vp8eY_}xr4Z>?IYEHs9Ku#VGu9&QP78Kd_#5d z{&l}~6Y4qVGgAX^`-$UM7xkGf%^&;E27diS_3Xc{`ghd$w(8>Yy7yH3f!IP#&uYXX zHUCWQ|K@`{vF@`VZU~p&QQf<0dQ(mBs2(nH0`$H+3yZes4&MYXT^ z&)TQ z%mKr$h0!LPqcJaIMh2}?EfmHLX6x8>84AKyPs9FpiVe#-A5(b7*E2fjYGnN^MpebzQ(AaNk+%Ep;GYw(3$OJ0QR@f4S$l!9! zTMDXV?iThCW`&i9tF%>9?hEHTJb@Khz%EtYl|k%WC|MaiH+|^Irjk%UsJ>D-VUE-+-PT{0~GJ8k;nC?x7GMo5by=P8T%Z3$Kx9O0XG)HnUB@L z82?aBoXlyjtC9Q1Yd7Ryn^DI5^XD6m0d9RrJ^!XUZk4}tx_NpW`-$H0`F_=xRB^t_ z4{{qD|Ei`xsOhtS+)vcV)1i<0(T8dXs`+PC|B?^o@Ne{~;W5?yTy;+e{X%uW=*OR2 z?J01-BRVnkw_cJcQU0%S0|3cD;~8rj);FI2DsKEyO@C9%Xa5~;fGz($ zZZNExUjNUa<4u*HsqseyZu~~gzv+h?2|5^kA-9GOa4!k`v#JC)x-#7G_Y~X!E}(P* zXCmiZ$cV_}1_Kt{m_4XB;RdP&-_`^g?^?sj_7ik`ZFIk_CX}C#tcV&nJ_z-IOZtI^ z(dm_V91l&;<SEwV zeZq|d9pJ_hLB28hPBvxsCF+@eDU&m{bs?F;}y}4I-TqXk4$B8`N@RP#!w+0J1IsWUZ${8E!B% zz>PII1ND_(M~ucaxccM9#?824Gz%ZiX6VQ%O9@HXj@et|c2m!du^a%?I$(&(foU{R zfAq+M$!S)lm=;aG+h^jHb|lZTcrI9hBOsv%pur13>~IipSg8@y1`$IJ9^!BEsE1Kd zf85A}20I`LgzA#R4W?AHei2ZK9XQ>An*ct68<=A3d+m=8U)u*mIFfAdIov?e1vfGw zL11#MZZLVvtKnK741EKb z>VM}@HaNi)Px%UNoU8Kh{|DSa(}4aXZp0Dm8{kGq=o^Ht0T)T%V4L)f9B!nspQ#Wa z0Jt&j>$uVMHPA@t5zx^{90FbZx~dPdP1s&Y^bH8-6k{-bxR-AJ+Ga!G5(~Wbjk%ij zklm(e6lfO)jXZ%KH{~#dePARh9^l5*&A8z?&eZ}Rl4_CHF?=Ku;|tta8~O(GlufzO z%7BINr{r8G$tRn=GfGI%m~X2b+_=fUHkJ|Dy^?@2sa5O;jM#k%lCTY82>?TQ^1WsV z!NArxF32>l3^e{Dbi@(#4MK*9lV?U_Oh||kFuPgPKo8;91c`7mxrYeiy~MhAh8tYM z<+*dfjjDZ7w?ef59R4%v?>S}ug_kYOQy7wbku#KtSxNdXZ=Rkc_5PQ(b=0AezGQu4 zLEuL7=W6=1F`)$$N1{KdUt9+4&p*6zIsXJ*bE)NQuU^6o>GrBBd>1&iO&V`LCoO>x2k2T4jM3E-}Iu?`RE&H z0R{M^*=`~Q-_jjE@4$q}}1 z{7Q8%Sj{kc1o`U#ZlGo){=lLj_>9p5BU|sktL1a|o%M}h2fY-U#tUkC(S)+ml*0{- zPIYe@H`=a_vC|(k(&z&>&W}O5!k<*++;j6Qy-bW%oUG+($jwJufDTlS&^lUgQtQoX zxkW8(0gzoWTW5i+)H@PSUC zk-YG@O@X*D%Xo*^=17onV^7D`BvkP>+_Iauc>qax63B@YAAMuW1HM%)^At1+aDyi% zxX5ogjyVN}L^J}wV(py%uv(a9V8;kO0d}DN}`OJl>^wstmP^L#gF<#!V7x`I;7{f$wP6mm)s!YcoXjQh^gM1bS7NriU7tGmI%6jNcr$MJPw4$HT)g7} zULS@*+bSXUp*K|0sd?#Dd8@ZPa5BPRi2V>sc2Pj!wa`gWOPCz(tk#Fs{Z9czfe&aG z5RFY1$ZSj7LmROI^NJ>5-}t^c18(F&!??k$5Ct#DJAcMZni2ceKLPE z=l(p5;c)5K!5=y(Kl@;o7q~GCyXdE$vot5rK+_9IOlWLK1eWQSmrceE^o@hnc8$jr zYlpEkGFWP{G^TI}qH!=LFj$sv#tqv=Pc&}Kc_?rLedAiSB*Jikf&pS|P|HTO-Vy+U zY4k=lU1uXI^OWAZtbRDcVU2B`9#%0-976?#if#-6Hy}<}V_Qn=%E8^(MRVhn(OOFY zC$E=GxJzT{aSA=k;l?=DR^TA#MB$yaYM85d%&N%p*20QA90bH^4+W{hT>E_!hX48b!OnTki+(@}~{0P&%LG z!0oYgB{UGl%^z}kMM@P z!-t!RS2At{)Nt*D3v#&em#^SP?Z2qzpL4|izih@00Ho$g5Y_XP8YXKm#k3S1;~CYw zpqcUWx2Ld;)Q8OlvwT6z?z>Ve&YQEK!;YK)Ch$aODz>PU~ zgslV1##Poa3>v8PI7;v%V4lV9ahX5O8FX;YYNyd?TdtlvHh=U{Jn(DyC3#g|7 zJX|VyeIvt-+kBir;wpxJntY{jde)~eGni~9{#@p@9%Y?_Xa6KTDcqSy@NT$KSaQol zFELj5&mjKh#la9W-|F=iyP(gxFJBaJfgTC z_xa$GpM|rAZBXU+hs?aMc-E$p>9<3r(2UzkXWm{qYg6&eJBnxCRWj?2l38r;E}3;t z$?Us|XWcW1P?Hf8hwj!_x}>jo$G+knOeIS)Dq??OU+LmFp0=E@V_(TGeGU{^*jKW< z_ltM#E6USOeMLL@U>RG8+s2(scI+!#+^13BRmX2icj+6-v$#)sD39C8=a=o=R~*-J zdC9JQrMvbO@8Y|u^+{FyaNBs6f6Dz@wrgJrm+ac-op_etoOgy6_myCyDC-)+5#AG8 z)K|KF&_X|&7bx1%O)Fbs;y$Kb`i9fAQ9BLVuCHkKzT%*vOZtoh=q)HApirLplle%V zI0r&=1FX1wL0@rt?)H5p>A8!{0jQMY!A4%7Xi3nHeHwqTTJJ1g6urQ~cs+hiTq=uK zP0WNL>8fqvOv`UIe&3-xMMD<#6)o;7V#`g^qZjs-@o4txyX`abuS`qJx|ydWUiru( z^M|MS_4qS-1d-rb?h%;0bKkJt`<$<7#{U{hr|i*Jv}=y`=qua9yy67;- zOMX_e&G?xUaZGC`TiSJmK=G8ZpI0AS<^eLaDgXii(dW zj-^YSEoxfObbpJ9J##b*CqzI?IwxJ|yX9`k3+KsEH(W)a3kM1Q;XynR;!kcx)+yd0 zo}TV$4iK?JoKk%Ry09N%OJ)fB5eehxrpNIbxfr1oOHBAz>0!zV^}@FwgB>-@HfraG#J)KXk!UIi2Q$-E7=!yh*m zPVxvSuHq+{$%Xk2a$iFeJ$y1^pXbKb$053Jl0%P1(5>-S?$h88zq(K31j2#b3fjfv zg7fgXxWy;9Ln8!>zCfZzrFTQR=n*23qIaA}4kB=Cf#dOr*@N)HGf_2>a*9k-q`Hc0 zM{!A{4&!dHH{HCX#i_|g&(|2zVJ*-YGGfj5&S-WPYV0l=!)nbPy&9SwjuVYfJ}$Un zVF*eLbY}&_F`2UCcJU`|=Nz6MQbf=lR;d&NMxV0dt5Pp>eu#Z`B!oZhV|C|osf*ns z(fdh~%~JY{JH$bsgG{DivuVyX=jc9&2jz%A38+gwo9>^TpROV@u-;Z*U&3i|atiZ< zU5VTx9?A(xbmKJkeF>Kn_XVGmsPj|q9IhIFfX08{DJhuqvQV`Ad;XJ|2&^9W9ku^P zRkvuuZK~MlovJ%jb*rjaEp=DWovP-~TUD_^)z_+ey~drDPe54MDRAKL<3Pn#8ox@F zmuLdU3Kp?mtxBw&*QjERDy~%JI#pb!v54rdBC5ag1P3c((_p8HS#~6OBSjU-&75p4 zcB-%{V3Q=Q5!1)^gIG4jk`vTyGFf4eHQ7lg6CM>S3__2L(<%en9k2LOJjr+ce zTGVomDh|>3AE-QN{Gl=(rU^gr@o`6ZpGYC7Jkeo}m>s{Lm+f#4E5x~WT%sR(r~Ht( z)xjzc;s|=<_=BAMWb_<0o$fU_&i%zU(RUqbIc~ogp8Ll3`}R%ndZxVrqCu0cv2T4G z?{lcB{D*!7k1pp`dAE2&nl}E&s-T&X?!YN5PS%7&Y&{@)yyEa6+I6TVa6UUfQsoa( z+HEgmJLh`LNUhH*S ziJDfag&43kc6yH9u4pG##D}HSrVqkFuZ+k990c47I|H^9A~wkEvXfz_eW zv%O4=_$U*|KtfB1@A!c^0$~Egz#`Kj8v7lTilXVOjXb_p{weJ6p1dJ1c7z*MN#Hjz zAw-PeDW<*HKrS|P4C~g6M{U(~5L$kLVXP3ZW?^#ph11qB3lYT%*e2Xah{1kZH+{TD z?{54AH^2v5Bl}S@aCsZ(QIC59wJxO}-5?wG5gNOXhb=}An+~RF+fjqcF|c61$k`ce zb71*ivO&duW`*FK91W?o@y843zIs)<5ckc0N~J9-uC_sjWn5n;*0Lo^*QPaeu_e-Y z+fmzA?UpSt+w;nK{XLJN_u+Mm;XP01dFhzDxXuZ>#y`jOvNS!l%hO zMEX6as%!F6P}nJO;P2x=-Q!}aVtOn<<6+h2DPW}TZjHtMu$LEl`*R6a5cz;9gFpyo z8DI!8rDr>tMi$<{oMol)f!HKmEei?lz=w!m6>noiWe~SO%oylVhr9D+jr*ZSG5}fA z-C-l}&{_>H*05F;)oN%>j;b{@QT_>CVoN1B~y z8xcy*jQe7uLEsh>5W+B`3P5HxO17h~Zo!JGqqE#x)yz=1kcF{mBd1y28h?zYfg1!A zF%3b%AU-D|2M7`%a@+KB77I;y4^tJwc&gU_eWFr0yTE}w2SALPM^y6@)jX`adsTan zspbLIJ{bG49dnq<_fXfG(6m#eC8{+O^B@OrlN5-E2(%GqF&Hjl&Vpc%oHbL83~+-;CyEQ4V52KuV?bkQg|;27ZH7zRXviq<3?9~x$}~7p|AWJ{O^yZ) z)i!0?W<gF6rnjJYqJf`tUU>{WEUQ*@Fc_}FD6gcqraiI1Q)v^Qw z0x|AT4RpI|A5aa>yG^RO-!8l{xFmLS0wRDSzZT4kI8OIM4{(DtjNk?n7LtQ8m%;jn z(E}xX|_BV80N+eR=$vC z|DM2&NH1J*oGW5Lm1&JUhGLE+iW7huvcolzh#rc>N^PW&!UVm_O9LwQ)r1oqxiqOy z)Bic>pET|N)YN}7ad682BVxa&{=23GP5W0({&%(i{O=Q$!r285| zmN`lsB26M|Drf%0DbdKW<^*dnlN5H#&EaMYM3+VSHIn1Sai^PGsn*Nv4F|xXv3uC! zM6&1!-?Yh^0(Mx3C>k~4oS?Hc0V2ByGaV9%P#`R}6=$j9Oif_j3X09C_6-&O6*w?3 z4pb~t1?A6>H%ydAX#%d3BUDa+#F2LGAzB1HPzw_KKTAp}VQJb^>>8BgFvqi>Sel31XggJsvk|WoVJBR&x5ki< zoq&_?YW&G6r$QDEW!BDPH9AS-DUyiEl;TP=)O5SXpA|oysBsWk9WK!La|R|dg{ul2 zusDq;YYIX|eWiW9I;ZjPo_EIhKv5kF4=b4oLfDa324Oxzhe>OB(sCYL zSBXfhbh1Y5tQ~OCzN*&Of?ieIYZ(;=K!F1T<3QVsYK2&P_>z<1lVz8vPRD5wr-bVy z88k@r9ofG(Z!vCQ*#K}3x5ISC%|4&28ZYceE7WwceX`9Y^uAIptJQjqT4U84F<@mg zwtU>c5{qvIM=)4{E7r!$l>LJ+utdqo+;2;?Eg|vKNKVKJ9LJ8Dh<$=`W8onScrhv4 z{>)0-v}i7Y1~zBH>LAtt=BV;Cjoih!(F|6+uGZJRZF_?(pz3&IU?Nkvs=xuYy%h9{ zKS1@zJB7FxbwXs@KtA!YBDdH-0g62FCuJSNBFdzO#S~N-Ca%Mvv0^hdCEQ@P9ucI} zH85_hlNZ{r+lp|5h!oTbW_)&?5Z@K43jqOBe*lU7N^qk^)9#O-@`#aug|N~esC{jC zy}=EP&pFV@mJEX!sU`)v*9grGB7rJyZdR(czizBBy=m%r%T)MR;K0B*(Ee+gUbQSW zoUDl?)k$gtfuI2$E?I@A6_m&mSyymY@?3%MC=h7kF~6 zmtvuBB-~)-1%aUT1dH~g6tF>}9_Qx)lmHEgdCJVK3^xwSa09r=Xa8VlGkOFvuso0w zB-8JS>Z<`7DZVb{1_3uZh}u0b3zG3Xg-|+zVMuC3nW}5luvU%aPa`uD>DS1mc7v+U z(WpH|(uvMD)%IJpy|Prx{)B1AoJB~zFN&Gu@6&~YltV`I&h=f zMp5e+gwO-SC`!z&^BN_yM&vc} z+~k@_5FN?}=^25YQQy*Ted>Bko$vIcch&Xo0A;3dS%CxHugZj#jKIQkH0?$aP(;{Tp# z-x_g)r6|l(zM^j=l_Qi5W+mhz05_)H?TV$Wfz75W=~wKcKXNfRukisT;AA9+$6nM9 zO5CDmGPvICe2lFmX56H{CtrJfoi937Yt2LHr9G7$65Gf1)X$ zY09UX_MU5x&brk#7TfTBT;*CSZI`PpY9_W~qqtnHmuHbnpo;O~5=RiRfNS>6)=!!* zQ42*dSLFyF%9Zw{plq~;QM3(bO4vp5r<803{uH9kS!F}`1pX8vSXhAOg*;2`cydMp z)H#$i2m}uO4Hb^cv!%_Nen(73IGB_@w#$80#eRdQ-$zcfqTWY}<~}iW?k~wFRy_Zi zp$ncFy4^2|=0Q)FZ1-gGf+vd>J~?#plSPZ4a%r2huXx|SQfQyP((m+@ezULS z+kHj*^bJsE3YTs594Ohpuk?VvlJE7E?Au2P?7@rfE!pAT;vMcNUU+BG!aIu>-d4Qm zj-nmzELwaAe->?jXX(P*ix=EhwB4p5^EM9crT*y^q#fIK_J*Q)n@Z+wESYy}$^6?& z=G{@e-R(sSZY!OC=aBh#6m54$(E_f$yJ+D(L)mVBciHy0QG9UA*EfcY8dTP*af?-R zh*NxYUExBy#L7;gknZhKIX6OQIYJoiq#L3lhXq_Pavg)A*3!5kzK5CC$C*9D9pNbmb9}}JZi8a zv6%ozX<*k^4RT@GNh)r$^&?x@9t+;N5GrM5de@eZ8?1dBT;f$KEZHlnQ&F3WI#tx= z62nDJwtEzJtC&CI2nQjOnHPZx6oV$QB-CLuskao5?ywQn(?c?=li{4a=$J#X9g(Pw z5Zv=3w?8Emb54_1%DJ*k;A8cCpo#CP>m7A`Y-;D=2WlhN{Zj)InZi{C4yXs?#@p(C zOFgfs`vpyWN!`D3EJy3BY9XlOm+B&YI<ikfh;KnZs@?YS<068%6O-+1N6JJr!8^#d!d%z81 zgkFoFj_1_=E2rou5oqs5bzI?c$sj<69vJh(b>cW@D6;Y3RdIB|a_1l&F{gBgdmOvy z5RNc+cxROjBaO?q7TiejqT~{Ex(tAV{2S!^>e94_0yl7`00@yr!`nQCUDTNh@TWwP zmwMu7zzu92Kr|6wII2>h*BX1Zz>QvTiJL;KT_xCCRQm<`bZNw z@}VYuXaH$^W`HtNxU9f|$**ZjjwZjVNr54#9NjPHhy=EmI{EFKOXkdV%!L<@cFq*NbJ*a;g*jZN(< z7oA3GwQ$S2=w#HW&EtlTa}WYjaHfDQ=o@+5NI_r835$c9JkrclLf>fr(74h0zPbW8 zCVs3*9}Cm>X5z?4n*5QvKULGS1@SL%V1OLR;|4q6My7j!8$s4U$VEvu@?+F{tJ<%2 z;c1}5(~X1%cvwIWJgwx`K#L&LSHcZKJB}nIY}g`jBb^ZE;B#prhmYgfw@Taq1kQ`R zJ>=hb$Z){~qzZKc+{kGf295r>k%JE6bv;iB4-4^lxOnOaF(liLIem*u1_$ z`Ne*?F%{gvtZ{Y@H*zY+S8yY*au72EfM5)PBH}JhSTHnUEW*i)U9>-Lc#`t5CZcHo z8u-~i)702b{w$7sq8@PLxq|o?I50pCB;4@yzYIr+ACFa zyyo7ij&*9kMr~_?5_(*jQ#@Gr8uJtfClHhlZv2>HM#Hwh+jbA&!o;dKP{p7@kmS~d z8);oznx|m-!M;IWVsHaxgC!)`MXhoq3dJK+Ilu~tc?!6JZG>{Y5QTavselO@Kn99e zIYB}7D*IE~-&WgOYQuH%t~%dS_XnAY1H~hwk9>rA%9P(0^o;=ynZjjop!?TBxKUmd z(dL~jRCzU)tGuSp*ER7)O``BORZY*({J;%jw_3rCt0Oinfks$4EWlVT(4vV}I@j?_ z4ndzb;fC)@hSYMwi zpbtPifARp|@N#=v#e*h$kmBbniY9 z)Aijx9nhx(`*d)h4(ZbmV$1$PecC@xSoqh%2qqa-Sn+lcdolHK_?p{TI`2vE4-@ch<P3Wz>zGuQG}gQO$OqiHh(UA(;?WLZYfEl~P^RccQ(#nJ&G)O7b+uP(^nU&# z;CStR-!Wj_%wK-1?spuwQ}|clz`!_wHNyst7uET!tFp2p-l?Ke&M4Bqu!0p)yiRTF z)OxMS^AytWL}nNg^020!s?)e=owF`xC@EP7Gxr+m1d)sT1eYhPCiMhF%I>6-pSV@v zhUY2OE?hfmcbC4cfE%Z)G7O>EG^)-}6@OAT1)dz^+9=gWtKt~L%cNUW6JcN!baN#p zEFOe`o#(o8%n(Upkg7&{9X*-8=&vZ-r_u6v-m02ysNzk$DCM)u>Ms3F|y+ z7%x!{wWM>)*Ea?ar`B!c3EWK+SVvDSgIvBZhjN8+gQ|9{cO&IEEUJKAC%6dI)D5($1RSk}Yds}5@7T&pn$ z88=uAJLPxbRr%E695$1FGBA-TTvgzJ!$iB^RL?t_$kK*oo`5%!U?+mfz4D!PtZS`o zkS-=s=e6qK2%tgW=oQ8XX3%r)P-8@8G@h>pB9ur4#wU^yfu<3KKXAuT2!QmY94CIM z;S6RjTRv{E9yW{nqV6;KzF-Axi8wCf25yun3kyVKiU-vS0yn0B8-#F>(>0taPSycd zpl^KN!86Y6icbn7Ga(#=?9y4G85%lRP!Dw6q}JQic8@w9Qr%jOIneVIhj8G8{VW#L z&;P4RFJ=-S^dezNT!-!pNNz6n6>E)@z*85)Z6tZO#uHph5g6%EQR* zH2Y4GA1rxbomY^k16+!PM<(1jPBoMqI@t#q2q{t6)}n6^zd>H&-CedGUBWs>$ADDrhgBxiq;8>&rFQb7U!^ho+coAeQG!K-Kn$p-fE!!)*#Doj{y*Y|1IVEWASV{o z*?X>Xn5c;zkR)P69VU8Nq`jT5nYTs$8SJ8ncYH(9u{}?L5Pr}j_vu9bF`uG%muv;~ zQxa}?J?w58|5e;@ZotqtGTeYsVKFvtG-%STIpB?Y6M5XIBEKkki9sG%jmkj)7^YFy z#!`4UvWzy8B=9JZF@0>`3dB;`!oLCs2F8Idme0Qu zv0mgQKF<*aUbB|+dXX)VoPnhIB8Ar#h6_qMlJbj!gCK@;36k&Ybd8*=8F#1wK*-Nf z_<$xlC0icusrqS#6igotOzp|qy*1cH%j{1f&j#TW6rY-KvYjbpEXS~EV&~jAa#oaL z#BLnE74(hC8v}ANlcJ<0=m3r3+rgUo(2(vs22H$m(4;$4H-fp#wB`x@AoDO@F2)_};9&$jt2 zEqZY1j5`L++Bk^Vt=YF|)-9U7L31{G5&hiQvIEWG*A1GvK^>P;|8&s2`!sQ#Ca%@Q zHR@Td9!kUpQ7hIJV<8T%@d?ytnYeyP&$_JwH(VdKNu%a#!uM2ryz9dPxz^uuA^PBO z8#sKaT1l~Zkyns&<|3Sf1uGj(65?(5Zaqk3-As0D_Onjfj^U@>Q@`GIPG zsMHJ)tab0cU&HiHFfdqV9`sO=YOdqy44s`HtkXA1+Mz<~jB zpy?Jh-7K~(H@fg^(`n9XUVn-jPEq4YrlynCe2SV+^|l3you-pqY^DALRsB%IdQ?L( zvIA9hh$@pjAE=rGRK0)DeyXNo>;X|ImS0iV53#@(Zv}B*gNrp}oa>>CoS|_`RI#_J zzGq)5Zjp=NVk8s{D>i!J2R1R~2-iFL9a3U1LjK$f&bPT}Vnm zmd)2F3iV#-s`q1mps{R^)Y#)R=46dM(^X>2sUl6$3W`mWHHa#ED^?&#=K!bB^+uTeW{)b=KR^8ONy+C`&w+VcIdgNACG5uU1$<&Ow( zCUhtagu4(aM-tJ>{i4z?+}kC%2M;MA3lDcNjacHkt|Jp~gT146Rvk5!)<&Has-*-u zfznkP53n4knG}J2PXy$&kp}QXuc>eSSgoI_jS!s=oZzd69Ol1wAO|^ou!}anToC^P z2L{N2b_)N!uGTlz`i5HnRqcOM=ik)vXLbJBTO4-XAE}zue?Ri9#AVmVtP`wh>~gu__ZHgxW-c0RgZWVlgkVmW8ca@8!`@^K??7iDcoIZNF!5o4nu ze2YARU4v&n?r2X;nk;K2qng*Z5;X^B$g)TTUCOw4u`@2#@hg54tf1=+9fWd0wd+(1 zhFoQfD7Z1I~(4sFH+Uvnn{HYl6sMzj!aV_wy zr)zNvlh2%U`WQ$CIs2O=p1H{Pw zB(5Muaey0#$2yH`6nWWtHoH=5s8Gxej<9??4T zD#r)5bpRb5myZNrb_G^ zU&9R$fa+sVOj7dD0f00{cADyh8@J{3jRYNBg*{~J$=g${VN)Z{JmoV7H;CLIFEP0B zb2SmN#RLT0V5JGr0dC|8^hlr)%0`A8Q7y$G)K85hZ)jKqkJcs!LRP zi1W#`MvOr-bzrFm8%e#$>(y|U8qYRXn9dDC!65tYk2PX?5q420;wDl$Axs218aK|` zI_w+Z2Kl~r_t4JP!%`&r_zX8t9w;S`mBVG_qaF?6`ibgMML-qkGEZbMgMcayBWE#k zM3S?3jcTxMH>!QTP-a++Z++uSwSE?aCxuS{&J+w9zt7B}ow1I->yMiBxw`+T=2r>> zpum9vasUlBqYu>eH&f?71pP^!e^SrqHoR9|?D&D{8=Z1Lm5B9h1qlZ|mO9Fm9fF!n z7noQd$3EFMMob&h%hDBQG@QoC%Uqc{6{oJ>3g#*K`mkg7ROO+L{A3b`TcjR+qi4{} zr(I~dQdv<6{ltcryzLFID>$>R7FgHR@cePC~*63|p_RYrXho z-1lR->ld2zXH5>8^m|SIlcxSf)BdXI|Db7q(~N)A%zxCZ|3kC>NwfZ!I^Q0k%oHvw zaA3-pnwq02A85+^LGNkGJDU2Yro5-A@2GOQL&7Glay8hVE7h|~-Iu9zr8-xrYlS+O ztMfu<1?*bxKTZ6E#qT7F!1{OxzphZnN>k@W>bS^B^V+tCItas6T(41cG+`gr9d9_r zuuQyY%egL&*|kPp5lKr_Etvzm*j}l2RsgZR(#2#Gb*@t9rRs#?m#S>Ct8fk{8Q>fZ zpX;1#!+X8sEk{VpMwM6!YX-T(=1iB=Ea}n6Z)gOG0V6DgB!MIL(1<-vg?|MO42%OK z7CO%)5;c6WS9~K~nOH`uz|CTba3=Z8iD=3YXt8W_L=$-ehfm8W6WypJ%RQOkqpNQw z>cdV|QT>)bPZ=~sgm7$IuA&APfn}iz(O)o!0Y04kinClimvo@!&rFpCVfQF*ad?vv``dhnvW>5h zPy~{e&-6+b9Iy4KtB%EMr#k4%IU>mSg&8o&{*<7UtfuNTl4@@GxZ$`j@^8%4m~Ux3 zX#jD;Qon#WE_|OOtT@RJoSUV4;=r6WWYLlX|S(V<@Un`?( z-XfP)X~0GMTQ$G0mMA=Jt7#$s#=yo*;VP;5MH8jxS*=h9Zme?vQX|DsD3%lz)>7$4 za(UUL8P6R{5gCTDI%xPi@K z?B1$8#vWL!a4E=>IL{RM$#`e5B_*dY-z&Ma_1D9O$YpOlMCVIjK zzzyapn{k7AO2P`@A`$M?2*aQ#8}k%gw9YM)#|_|u{2P&T!_d*601(vmbEpEa70gqH zyZnK1gT<}KxwNa(ffDCQ$X1Y}#EIhqBGO2|*y*{3b!g5{?MX>vpSX?`)L~Tlp*pU& zn&%@-Awdr=1U(XgA!(=I!HQ#Sxthn4H&zpX8>k#W#|NIUpm-Gi6*w?34m7h*dBV zxKX_I;KuC0jpGA1P&PmeGCxD?5Wy7-Og!ELIw*~2+<44>*!)3?2mpv+`j;E02ijy=)(2Ds7krkdUf=m0l9QZr`Kg1#}ZF;lpTc?z1wD~67y zXKk+U#Jq+&oxWv=C112;3k_?A;Ud%wFK!Jx=lH|z4w!tigS(6v2{dv$E_jOK5oJ&P z6E@OF`9&#^ts+k#`bNEm<#7W}0u%&!QchxhB=lQn^g!###yeJyD_w=H_i>jtXiUmO zOk{uvh`hw@EQX~EFiJCXAv7I-H{FzQ1JKA3Y77;ZSolVwW7gv{PibZ83W)KZnn*zX zVL-=6g#l3DzyLXbrqTSeO&m?X3N;wZJf0CW;S}eboGHnH!ctZwHWr)MMga(%;pO3Y z5+~nib7+Pe;6u)uk>N(<479SrL?)s}sR^U)eYM%J%3h-Mz1L5AP39W(t>W z^&CKoGFhT5Y|SA>^X@C2dq*iW?~by0cb3h+t86}7h(B*Howup9cVp?CTT18LTr%gD zqB%Ej+i?l{#;jhc6h%C`J@%Ald6 z2ajmqrefzoO-HKxBA0@l^?>F)s=1GudVgv=#_UHm>k-ZTiR(nqcxcG%2Q;jEo8F(6 zZU1=5qQ{0VeynVJ=%-~19xYq&h_en3>(;Q&D5gnC%}Fk->ByO>F1|_V*D$sOeneCl z=>bO&7&ccWU7o58qhu#RBgBTyRvF=8#OL&G_2OS(z(6@b?N>_?!9}xNpAYS#7aZi3K zov7lPE#EHc`mm)MGFC;k!CP1~&DEb_I8G?4>!u+;e6mad0zg4YV?=@x3`WRYBKD^` zSQINX2BnIlTn>`-YZcVc#Jzi>#_XZ-*tFkM)h1Qmr^8>Q+^4P}MD}x~YwQ6Ux3ikh z@j3`5Cgp4o(f9*`_Sb~(I)5O4mO}@+FxdqD++Sn&BF=o!L`-vs3NuK>k(PGc3S_Op zSXXuAmX8}*{*5Wl2gp))mccT)V~)m}cLGPJ-Q%Dar#T|T1tLuDbQg`SWC#ARCC~-p z5{hFoF+3#>hGCiHKh@NgUXcZomGA2uk2C&nHT+5quc`4BHDKr_mhU%ecwLSCh#X(_ zuc+=tH9W7%wOhUP7Z@;54%ELbsNqdZTK%hP__gJ&?lsjB28Mt=r@9wZex%CxPzM1> zM@7BY<5hKxs(DQcRXGN-t3^0r@9IUaE=APW4u> zu5B=JUkw^IS7Y~61r<_=nxg>pb*f%r=k<1vxuR?1wLU`DP|TPFeA@SlHHkBc3gZZb zrJB%C3?n1~qexToNnu>V&;;gSbXu2e#1Ud&T|wTQ&Vw zjqe8GSb1Gl>jx?{h3mF@4j^f^i$cFP0UGwLJg>&*)c7kkKBKy40ylP7J2~sniYX72 zh#HO%Db0@yii+ys3CS}d5N7;$ncbAk-stmPKZPiCe6@s!CCloWTfV;G^|1A}FaV)2 zfuIW~6JGBi4JIku{meNu8m@OK`6kkKVI2*hEdEnGDc%yzh2J(M>S4hw{7=T`p&q3*0z4E2XpN#NC=ua=n(9uujXn0!X$Etj{z>VW_pg|$F{sfrh(c@Sf>j_OgGQ(vwsNqvjRkIJZw0t9!Yk;9&(pa5ZS!m-JL@%S z!;)xORuM+;FLpvQGKbTlw?xmNWM`yk8>swd0?V7bn@eZ1T_QPSL)wvgfZ z0_z(TS(x(whQ7f(B~*?E00f%`fnFb|i8Zjm1?cgSj3MC0`>MWsph8o)ZmZ{j4I1be zp>njmpqA%N&CjX%S891m_0QWhTApy@V%1;{Jt52*pa)oiwKGrP1_!Zt#Ds-212>Av z69}qg%iNIp5O~j1wkCapI**jVBVkv|TD3AUxtijSgBJbF!JlnwO&kYOAS)y}@)44N z5f~O~Ny{~AS>alc8BrQ?l{2uB2&e-4$uXMKr|!=+`7=%Vou)>8rAc3E(jPSWk52qW z60qri(u|-f|DY*FzXAsa#(}PXQJyCLO{RZP5A=`f{AYFivq(qDyko*n>Lz^g zY_*)N)^pXiR4vQYa=}-qjeJ(;sr4K+Emad51xr_^51n_HT9&Id5$CT^>%~DAZTb2} zst-FRin_wINmq*nfYWcKLas(_r=6bEl-osl=!v(gXQR3|sQVUmCEB2_jZU@Eahp1B zSJxdux2j@qrw*Hd_hcVcP!#gJnh+%*#}MB&+l3&jexRDeokykiDAgU|1+ogb#=%kt_$fJnyH5Dw;T^PYA45x)vVSS zN_ifkF+bLr!!_o3jX73h4lBrifdd2Nz{qdPw5N&iq;cP}q>bFc(rAHzq{hEw)cOat{7Ef;9iYqeJ7&EbB zoatyrjGqK?al}GP1nI#JmY#OA)s;%pe~~$mVvZrtHRv!A28ru1-3`B?U58QYu(WH- z#|?@~7vqPW=SW)HMK7}zwDksc+-PdQL9I8cY3$Lvc8xoGOR{v31Nx>BbI~p^{LPs>tu6_WGheiDwQRaNch|p9yik$ zE*D$)SKz?FI8gJHYMxZ>ld5@0wJX$)vk$DmP@gC*gpV8=r14K_H0&QiXgT3DmH)sh zH`8`f0(z+|u)sDE^6WT`-1686+wgi=B>X(qt!x#zfj=e1ZxF#kRmYaA)wa$RPfXX@ zw_?P=2^)4%T&@(1W_=L20ZI_@mAPVZ$l{#6QkDCtm5C&E-x6-n1-Te7hmYo=gSE`8 z*=H5)D#vvITss7Aw0$WE=QOGYkurX7(N~(E6wLc4bP_-931HV6HU!#DC{cK(h{0&ZfpT328vSvDkWpeb zsKMmCJr71cA3{b`yeJ`~?5r|wU}Ua2&T(|c@Vv?aK0~lQB1oMB0o))5>1B>@2R9ay zf8%r4c7zgWBmgOlfC2{w#(~<$vUy6~1D+jIR*C8JW_=?KdA47pB(bMQ{tfc>+~^OV z)r_QXB;1I7%d?}r0y_HPM#;pjOy3CHpn_7u4G_biah;V7W+?fHubQg^H|`GwBSo8o z9zo!Mabtx&-Nuc)%8@iW_?%ZcNV2q2wNWdf;w0n7VsPX48E&+GVP&I$8v`3Jg{u+= zGTeAvwU4NFrCQ0Y%`_yTM1G3F4wS}z?w~^!&(-)Jd7d)mhWM2g6G`PrA3nZ)dFbG( zfSP2-r-mLjTH{Jz=-kxv-jS?aa>8dZ=wN`APImL-Zaqgga-(M zpp~J#8;#tIy!RvTJ>|Vbc}G(8R$ijKp(u)!C^ypB9kaV}O}yRNyKl{X_r7=$8+gAj zPjwU2%nl~{{x>K@Mn$2zs=IJ->gzn2Uw+BjB-n1y2=ytnL3zm6DVx-|t?nLC#Uhna z7hWU2Q4elhqEbN|nBa9punahd!6#DcYG5_qDUxz~YGLSu09@d55ap2L!A>0Ch#}zr zU<4xE*kUy=s85Id4IXep85xuyOc6kXZ!`xDD!y59C}etrm7w?4Eq&3jBvF*Z(IfJi znZrPbzk`-GxYq7+aPow|e%&4mb!{l>sIKi&slnGjpb$qR46g5Sy$D|b6sUXM=eV)i zqZ4QVvfLoZd8dkA=~+{8l;ehza>0#=yX*ff1)sw|g)#SHH|pJ}q$|5Xay?q7{8vl; zovc0>?Ns8p=>NfkGs-Wm+h}zgtahE%u2p5FaN}AnoW&kzCm3^*U)c_e!m$7WJxWg( zJ)mcqR@Qr*KwL<(pSF(Y zt#f6fd%g9o$?4sY)4kbxUb5a-tnUqpln%UaGoG{SGf|hM~9V}S(8_L~Zzvd7u zjep`1$Z7;~77SsDc|$g5$SQ8h>0OcNUaoZqE(BSUt`*k3BGI$j`kv3}Tba|d%7XQ- zvVm3BzuH>wx1vrPSdr*kVZB`SjP*T}+qW{&yE>HC zTb{?8kA{58*eO=nXeIkv{aMy=o6UO423Hvb+ButTaFf7caHGxMU<25Co3+knt0KGW*4p@b?T>GiwA%PqHI&S6lcB&yXV?jJ)$DTX zu<>c5J1wm?C-i4EW#WtC5im_H&8`-4JIA-#_!e1y6Mc~^zg4|oQK#frrfWfy#P0GN z{UG}sY;*xYWB{=S%Vz?ov}Gpqst%&&jdCED3^y*s>i_!v4fTheV0lw*Y?X~;1i}a- zmWXO%GcD-_5t3NsdFLfJ{t*n0#1;9Egz*o<#dx+_Ioc+!a{@7}@&c+I)XpOqwKPN^YT;c} z6u}_kakW|@glbKeA^ci;GV+)c=d9Mk96l0Od%GKYR(Rca{`KR=*a|)V@N7$t76p`1 zQg50&#F{JU$?4xHT`xqyqyq+Ji^^7%KW&`|Ok z!|F?I+QC+QhV{_Q@t3NBtz%0KEK(c4vD9xZ^*c-bez(>C-ofPWtm5VDrc8WQJOZvr zxz%d1k1YA2B|os*58Xb}k-Dvx`oKykZav)E>0eC14fX}om>w(aGuug;gfKoZLg2z< zs}bb;f>A3?{oLu~r#!VUb5+V31Xej(_n`?Vx` zcB2OX;zl)n?cYkHIR1%8AiEI|VmN3-xB+wo++gdt0d$mH>bUWQ2kIR+0(uBEh<^se z05nL!5Kz6sYAC3`TB(+To(bRvG*sbCfDUkj904rod;j`zgK8b>O(c#%wc3@I1Q5Un z+R9%g_45Ap8WW^RG_6yr0R*IC zfev&;fev>(fQ~vGkKlXYexTm-3rqga%GYE!W#X&i5fC^83(f}q#&FyabbMgN@Inu_ z8IM~Plbo5H`C7!&2%r%V12+RQ68b!_3$B!ccR?53QdC{bB>_6*a?pMVTEcyQ{kVYw z2@3ECH8Un)0wVxuK$0oHl992QYqc)%`v)FeLeVD_a@Q|9Awl9D(F^lYR0h z45|D*aN{wfRBgAXk? z5kh=pSd>GuuJ{{=+Vqp%-$1wR8wt1xIzpi1d(Z)TV861O-&xu7Sy!vL!nY)mjo@#vNO6U?pz zm1qdLh9I$y%DT5^2pPt8#!Voj}mul`+uM?86{SfW&B5sB@ z<00kXX#|?CQ{xn84!FTMV^>@Aoz_at@}1Ta>@I71z*_FN;-jtj)ZD#?#tsbS%^b>` zHIz3nl$bS?8+K+7*?IiC-XWV&uf1yZBOKMP#AtA zS%ZQ(%g6UTo!`AIzh`-V?}~AK&ySnAYTV4VWBNBt7}$_Md-M2#m-7c+$sc$vf3|Gg z>{p>QRz4O_GE6`6S*_6$8*~r%WZo!(Y7SF?V+63hjUvW$ZfqpxAnf<8Nu$inzL-`Ue>cR zx9ySK_Q!MEpUiE4Hn;t`-1en8oy)CbRbJ=n+^%)G-RpC^H|F+i2$lmKZo-T)i{A9( z+<@Oh&$`^+b=J2ouWwzVZ(YvJHHn#P#N+B-ZBXeT(e*5sbuG81C1jd%=e>~E{d`{6 za#`oHV7cAPb30e$buGhkyOt&x?G6T6HnxMtd4o2ME>3d{VL;h@w|VNhNrTAgM_BQZ zHtlGeevC~!&We9%#YagJnJ^22=fx*_H$58axp92bk)wY4#{-b<2tdqWn#q`B3vBvc zvT6IIZC{(Vx3?~Bv*LZNWRXqZUp8%jE8a&oeLtJND1GwYHjQi9`VM87`E^qFnzqoU zbLPG}Q^q?SXeGGThg&gIo!+L0S?Lj0iVor7R&u0BVmf#vV5RjD`_thy9W0hY$pKb; zkYC6Vl8O!_D(2 zV~ebqTis6*f5rP-33i~G$Z#{k;KJ!9Y2e7;!Yv)5ze0ATm9u}8ZocFPGCjXX_`d(8 z(+{($`-m5(Kc5Tz=Jh9p9X^iF^*@%6)>v^5{89VZR0eQwt3WF({ImFf@xMDt;2?j4 zUyrpC)JKo9(xat8iWqVkVq%AiUDmqG${w-G2izXCvd66Q32oz_cm%Q=0f}^BcUkF! zn#1UjBVMOYuNdD@Cs-+VqP8U`XOQc4>1Vyj`L12>~z zy=H2ucE>K&an?;01R}7obfQD<_#BsZ#atsh2f_AyvmYgez4Fjg~li(N{HHB5d}0@YXya$s1N(0wFwi;B^nb&=HB17eX0mbJ5D=DN8+Tb<3lDeyw}n>X%x> zGOJ%Y(U&nUJ4?<+C zk4$_OBcKlE7%A5sQYoh%R_&93i$6k)sGv0jKY7))Ml~(FtQ*`Qi04nMwqOVWy%5&( z5ML;+S4sukfckh>gd0f|@KC4WkwMZbV_q35(D0z5z=49$yRG^@$Bp}P+hI(3m8jkd za>(~^BP4gyN#WhN@nH0gFXjV&g1a|C%VIZZgs>ziM|tn1;6~2C8IG>gk9?mqs9Bh1D;&25gn|Jm9vn+0va&56HYAixOchi+^59_f?yKD+}jfjnS~BTv|- zmMg-!;B8Amtfj2}K8+O;<@}jYIPf>>@HYSo5F;4-e-bxX1hG!Jd^c`X|Gjr@8%{g_ zeRfkOzA7F8tKBB6`p&98hyN;{mW~@0q!a;-%%kUs zAvS5q)duQgSf_v+x7!5PDXR^CLrw)i5mak}ARfU1U!jn`42u*!JFHXgaew3P+_p9H zKvcC6oQ;4RflmTzaSwaC9MBu4?Psk^L}aCjiI!kq5w;sNhTnObq6v5sQ5<~3S0&HM zqtL^?%nd*YJL$(?xUte2SE^GI>y)`~s%sKQy$KZuuiG|FHyJ0 z$k`yi@ptk3k4GTO5ny?O2{*o0*Cd(-Mjiz~kuiLX;5h^wa3~m#8{JQ--|=o|BS?Pn zAO{Q35uQh&W3irh)FEcBwCaZ<1}VzhLJutL03E~q4QEYM-KDN%jdvz^BzJ?xVqd4g z>qv(!ROCMAbu}Zv4X9n6 zMhu?%V8l0g!>&2ZwNuBL)!BxXPMVThkhAT5C<~(zezb z*T{q$J+{|})_|Bf?H!@D0Iev6y5j+EXq_?~F*5svHHPB`t-U?I5!NZ-M$La4h8qqV zslU&1&cv6+BT)OfVO8*xcUi@W*0{vs!hu2#h69B_V^7?O{0)jwSUz_exB*{UU-V&x z!lQ?M4>x!#po3?VCMoc6C&r zU7f}a!WZDi8i$DBD)^EFpMlPaaw?Wtibvi7p=GTaPR)DAI@U)}AV65zF)t=ih6DDtqPygPC7-zmC*4cf)0j=_(tPmC3j%B?P2tDJWEHa5#=DW zbCKLC)?cJ@P&42S$)_~^-kN`D&A+l1>=)MZOKbUcJpJPl$Z`alJ~XUxhc$kptRG*> z$VAYNu=aYZy}@d4bi3Z_uJR+{AX|4KsC(74L?`eW9Z6kfsjDq@jc?iKl55; z?sDJqR*OZR71 zh?Xp~re~}P9b*RSA+b0b_=_0N>)aU3VAom0l~&LFGZg$S49j9`VCaa7T%ksj?f=a> z{=2vR{e!h*{~+u5r!418d|5mKEq`ZN+wZL7@5H2Py+^5;PiCpq`#2dx!j5blufRttCa zl-jGcE?1~i?Vi02bUXc^pR@L*)=nqrrG9+10HMR<8#A7?u9vOrMeD>iTjwTO-&*V2 zX#Jb4_eJY_$@*WizE`aGRmp+&zARl(tosGgKk!xhHe25&>*7M*bFH=W=F5cu6w*%Y zm8NSjf*}Q+QdA=pLx;^mxTDy78M>xOsTb^J1^Zj!epbkKQDjB?TH!(~m>p05cm%Q> zfkNn@`?#?)Q#vyRb2R0G@thWMq+K?JF0q3u0|_tT{bEe=g7gut4OYba^k^pM*VyFQ zKF|BCfP=J2?X#kOody$wSxuv4RQmOvgX(KG2^+NW4K{g!70%uLS%fwCP(G-j&p(3m zxiP;ty%jdoCe-P%;#bazY^FZ6ARJ-auhZD_g&vOUVV|4i zRT+J6>2HfMPzxmFHRUj)LoT<9aZo*Q_tlZ2{ncZ4@&S<*?Po=cWRrPyKbyi!JjLxc z{RCqHHJLX#R16ce{;;VBh!#8LK)pF(8IDgrSbd^-H$ECsbc|>xv5R1=N??~sC-4;O z7_!Q5tnzEC`o^lh)!MWA7gqf%OJaXx$=_Jb@2%!N8pGS6+)vS>@N(_`Wr4wc=~7VB&tN%Uaa*eAb9pp};%va&O*_+aZ? zrIV|;2kzuPtA4<02t_^+S1-9kd$bVfNoFsIB)~RP>9u|tm?Yrfk$L0s2=4x z1t?TT8tfkJjGvJ^$Roz9w|Nz6cv~-qRm1CGyh-K=+)flb=UB~!YNQAP=-piDq6X@e zBN8ZqfeAIhLP*xIPo>6LDl_R?D_&U*7gEPc<^o^=I+mCagUBryLRj))t0lGZsMS8M z98f`L(LwO#z>O!ZmcZ#VVtK&?OFLpp({7)6N5 zotE6@rOlsO@=MqL`qs6-LP7n{TmL3YL@)2m%5H-DCbi6uJ7 zuK>uMtcfNb^pVmWgm!oH&jWqb30(lo6oAURoAxZx(o8Vvd2xUs{M80bNo z4DkPIPw4oCRx8ziYxPKT;DIcQr++*GS&o2Y^LGg@QeRmL#K_neR*wN4;KnubH>l%| zu!2WyxbaA0PQ>C{z7iZ@oq`+rZclV7TN=`x07ZZf&QF^bFHpi}9I2bPEgxe$B;J8@gFvbJt6Y?h%Q1UOZH2{s3)&T1R z76%T4=UW?JwECB&F`}NWp9wdbw^-v_)(YR_ZMV0q4d{5?X1r=M96CtQ2s+j)FO$Iy zA%+_qHWn;log#nZQ#XeWd5pLmUkf_w@H$*{wC2}V4a599EmdON$nu$qFEgwAN+#TJ z==eTvz(3z<#qi0Gw2o&TH+T%g)5n8`vCmVPh7J}im8@S_N>GY*D*i@3?U}xZ88h0c(9N`@ehCFK*U!86L14!7?o~V z@HZSi#0Lw|fwQsBabvRr7Y(mia;a)q_Wj&iSf0FX4ewg(J2nG*+uHsZH(pS3wv`m^ z+6Xs<9sx9h;}QC&ob2nA3~m4&pZQ`l106pVbR>Ui)#wrv`XK1BG=2c$5y)}`>X=U7 zSPJ_dbhy8f!WH@4rlS-1gEVf0r;i6P^LU1Da~^Y#b5?>OL>?&TJPq6!4{pH1%IMvR zjTu}H5CA~Hmv9Td2cS_6ZnP%o5x_bnayA?^zzTsz+Up>=5&0frsd9aU8_nPb&vnMQ z&&;iI!)b>>Rj3XQv|UCCqULO)_!XgVR;KKKVU^Ei zIcMU_;t^m9MKfx<)o-;17|tK0jaCSsN}723B?XO zhj79*X$wrP>zupgot}6@{2AVa9B%D})p85H+1= z#iRmQ%3z)lc)8yxwQV^gV4AsB;`g``28$cFvD1F@GpQ2hW8= zISYppdky6-8p>t6_fTT*p`87OY=0!({xP2Z@d#u$0=fHYM$u)MoxDXuV-FY_L*L;8 zBIC#yCRp-OeJRg*A$M?n&fvzJ!A)3V&ZflRMl5G=U1D&3?!5K6v)AR$UYi(Ln?n~= zWJPD(?eUFO*1Ifc=F&v}^2E#)iT+hsPXDUhzE!y#>0e<3&)ck3yhF~whQ#a*xwK53 z*fDn2`n-V`5(Ara2VNj2o9LJ2^uLtbzbS9l<}m{==FECAr~j3l{#O$NFDGWboI@NH z#jU#Y60={=ne|%E%r|rT-;vFFCo${o-2N@OvtCQ|Z_!I^``^l$wIwm@&D>dAtlV(?VNdUC+5ACnEPg8?v}h+ zFH)R7X5aU7=Dm|M|J?+(;B9T^zn7T%QDVW?#Db3!du>hZjeVTE*C)CAY)|a7J$KRe z-2JvE_Wv|@?pE?CV-Ehx_WvU1z%LUAe#JKDpf9k*!Jp+Eyff$EPZI}znmA~C;-F7* z4*l2;`N$6bAaU^flJucL5D-Pw>a$pLhha8v)HICrU;0$|+t*K*(rd>V{A-J7Rx9P0U!ADpMxXvzS(=%tj10 zBj?cbw9^$YX)Mb$4y{hvY1P33w!Wj@vb-%Pqm7w)|2ADtn_Avh@8iGfZCkykDld6Q zTD{6uoDe?FcX&5GOD}QI??ktO@rUq485ek+RxW%ZV=n}#3JZzaMdtKZjk80K7=~BWY|2Xz|GTY zh2mLRpq9(Gib$q|3$1AH^s5WRyDR^p)!!-FM#hv_p?=M)Q55uWg{4RdfiTEr z(ibi0m3VB`4y)N^HJ@4CPOJIKYQ7d@C;o{?AiEKOtx->8gJ{Ms@g*pQhyxD;3{{R=3_v~n0lP*VLR7}nHEk)K8Op&C}TCmCz7j$w&6rCk|yGBz!RfBrE|>S z+mR$!ije8>u!$#U36ln6O~k6F)TDc5tn1FHNfHse2k4oZlw|a zhFi;KYk9%gQt(nRD{sGT)=D@u#5X)HNjWV!svA_+&m1IL?Je%Z9{Rvg>gKR0u z)^yHPk<44I;5OCh8IyXXsOI4 z#7Oxd-iKHRM;tWtCh7O#%bgOoMyOo8#Q7ds)t!JF02=qkK3kw5llQsHrlRJ3sI|Y~ zh!jA{?;-l5IMYL>LVyPp6;bf>L1lRHVP?PU8Czl(p1S3w8ls~iCQL{q;093?Ugey} zr36^CATj$R;RbnF60)fGNWcds*jlRxH(-GR8k?1bRVAz!!>TyW$z65pgpG?nan1$> zvm{|XG|CB--j^L^_0VXcjH1bNl}r*ML3${F#_+AbgmfxzI51?jF#1TcK<$92v)v*=ovi*FJ$mvta0#5b4XIl>(|`lvc?37o(4lAcW-C2ixY4^>saT#_ zWk9erO-ufU>tKX@N_5;Gb{@%oINSIJesV7yA$rnG)HoTMxkY*yTyrhIIfzZda=or{$Ge8N*8-NB`6>PcH;eo8T6o>%`Y_jGT z-9QhopeI+`K%MVOji+#9o!pORSfgp;D6G-)fE&I5iQpm-IXLn?3&&~fdy?wcW)4~P zCsqad9NgIEgi?o&EGJET*{B}@{Ed)=O@bSgveJ}|rX~3uObQ4wRr=Ww!9KXUzq&k7C!nFY| zfE_M$&|sxgah-w*^_QszR=3BQ+(yO`0xrOffNX37VZ$ARX~&DC(YaB4EzS{3gbOj; z8^@W5+7XegGNVgMGHA$)#Wm+%N#dS2yK9QVB5Yk!oP!GHqr2 zdWCQC>(~hva0B6JaATI^#-~<|Z5M7RIs38lv+++n0@;l~!`IdT@9Qf|BKdWn%GmiT z4-c}Q=$ozfR;yj?mf7Lg@Z8<(xY27}kh?;BgD2605>UVq;CKCZ(CKTxK_q>W76E5!B0gCPf@4>chnIhVvxc)hs%M3f!7gyiI;rA0xY=B z55NL8?z1Ceq$BLpvdLOlu&lS1HCB!w8)bR`X$Bt{95UL&&4-H<P7&j?Uspl&^T;VBWKcGhjH;8ZaSl0@L zD7B)&xHkRlhsU3-3^=+`Z>ZIT433qc!S40vG*>8 zH7H8~I#k-mI)#_kTE)rMyV*LHTHABh_N=V^Iq~siPpg24T7b4^tnFz-IH>I@YlFWE z`pwE+IIMjq-8@OJU?gYbpLhha8-c+g1>5KN7M0+cFI)FI>s+sBK-VVu_C1@dXQTD3 zvz|4|n{=+RPPVI!maHsK8t+uA(}5Q>V>_RdF?+k7jjUstbFP1P>#hBH>sVtn zQjwI_3)Y2d7I*%Jb-!iZuUYr&GIX?hUbXHmmONj|oP!^0U+3`BYu5XQ^}J<$Z&)t} z-?W}rqN{X*pVPhq`bT2^cI$afXLi5qS8*-x$+fS_fysOp@7eRV^}L(Y{ffX_DwUOlnes!CI5*HU@{Aqp~e>>0D z_<9}ZO`@K&sCDhDkC1U@7$_eQu8nSBZx;~r+o07oeOtIBbaxH6q>nztrlRFV6Ign} z9%R$#5*rNJu0uuUoO+~9r>iVRGfMCMs(qTwo*^})lG7q9KGm?2Q>6ho^#@kF#Y*qD zl6$S>9xJ)qO6UfDhn3vr+uN<=7AwBZif@&bK)kuhrk)?q|9Awl9D(wsR`!gQ(Pj8? zD|_0?p7iarR{osvQu#7%i_TX65tN5vdCAUpJJY8zGdVMvnbK)1J;kOTrDwc#iCUDx zB8ZHJtzA6muS!GreBX!BlY|S6}%Lm7B#5d-AMfuD?ZsuPSKO1XNS)^)9-{^ zI!~O;%F{&-p;T?RU1((&SlLh9*yp87y!kBsXWi%zJLLd*O?oMuhI4|Qmo_fvLSEv8 zi=%fY`#iBTuVaJCTlD@JyHEwT(fQ$noNEEyqKteHcCEIhmnaF<{;Klbk}_k(M?oJ; zHuB3sXehJK4u*o6uL`xwBX31)nWR++B@qj{!KTyh_8jXSveZs7yJVkQ4XR&gf9g&cv6+BT)aj)l(x$fh1Gz8ySg}`mYr9Al1@{PC80d@!P{L~aSvazDfwXdSz+HC!v{U}8`Hvx1c;otqC7IAeX}6C%il(81hq~kfRx$Z=_*Nx_3QIg=t~DB3BLN`#7S0&l z>5C~s$wT@ZwSRy@HTdj6xgN=oF0(3dqt_OGBUZT*vM(zf+Ta2{Y_*SCAW0Tpf*wY?HsoB^41L{MTYhhrb0)ql9)aPw zQ6DtEg5=j%@-$?hTWP?JHJ&zwXNB=imv)s!-3-ri8a)6F=5rY-+L`0$z2dFYLb@=h zjPBVQDJsH(IDNI3Yh8rDku!6hw`jppA>rs5SP{U6;|8Bj#)mAA`x~Gc{zlym65$+< z6?%%pvrl$4t*R0H4YhlIM4wo8to6R{ozcJzXKv^!T#mpZBl&W--cwk_JGc-BPEJAl z#Qlfk2A71-;^W-?h|UjagNK9TLG=pCU{6cqlvULNFqu|O&K(VDSz$$neD0tTE!yW- z`a01I(vQ)W_14u16%~Bdh<=>OZj5dzN~~ zQg6xMsQS!G9F4^4cT1>B%n8wQI5tPt%S#Gu{{!}s`Hq4<)clrYu21vGFc;9Om+ z7HJtB9<@v32uAz?J~_r)N2^M(Md0+3hMLr6R21K5Mw&8t@z( zG$PzM&U!zHIJaN~gO9$Mj5cwe{gX@p#yKgJsdsL>AS z;WaEk87ZFmUrMi74d7N=3z9x7)YGj6b_d2jN3iAI2@YWK>J>3zFc39uQa!rHijNeL zrrZ6Ey3bT8k0A^z+!zKO;+CUr_@UL}U%hFiOXB$-k3g0qP|pP0DbT>Q3T7=l%o;v6 ztdu^mN2+C*Rwz#IRB#gMtzcKHouV)V4kt#$@&-NHMEDE)3TMBj#z4WBC@1V0Br&O; zNaKe54d8-v=%Rk1RbF5d8ggf@6(dx!Q=+MS${*ndaaR%45gEH#&;gN*24wXYTk|u! z*DvCby9qt+5N=Sl3ab-UE-g23KPZ;JOvUMa@2a{)FX5I@O^+hFSIH6kh25&|3A9Cc z$U7rEDitFF!Udi3H$)`EA;|z#*l`R&C?kNCF2wL;h_4cueN1toqS-kMSQXNz9vNPetn*)G9sjBc*70weepvfI zTjxJn$3H7wSo#C8zgi!0?Yqa+riA8?viq&+LGKIsfQcAM8`Hn%j+3#S&}8L!fEw-P#F_9dQ-( zarA|R+Db1-9-qgpnMdgY7}HH+jR0)0=r@gzQNEzgMf9d&nwtGP<8TIt^k z43bY7gxBpgAcR%(Y6J{PiR^L;3x*}`4c@uGFSYVEH}j+iD0=mbJw!{C*5 zzHJ?ES;rRZdd)gtwNAQ5ZnBDFt>PG~INHjNu`;%9i21+-Lk@_@bJXvfisqZF;#N2E zytJkY{i+B}6O_Kz%5eytf}Y)+iLZ)BKCOMWM!lr~*?{>aMQq9YtXHhQ(}c+GIQOz<1|Dl9)n-{~@D`|-N4^vAlEb0`ew zDPUW6q_#W)82k;&-r3=aF5}1%+9^Forsu^!rGg82h}h?rcq>#Ukja{7Whcp~|0@5n z8?Um%uP4jkX;++L6{lLo8CG$oRh(thk5G^Msxz$eG?`w-IPD~>__3_=c&kirD>wl= zUAGDYt&(#XlaqWWePJa>POysO{X&dcdr`TldRGV_D z?;{O;sEzNlvWIQbsW$l}n|z{8K0znww3FlMACEwmBQWJmn{tLtKFg+@Zj*U_nU;q- zp^;vtkVv659xB{mpSh}uO50QKe+n6r9h$n*U$x{Uo5XQHqF3o>>NbffeW3Wvlc8?z zuXBp{^&p$Hzl}>NW-=89CT~mgQ(ndPliQ$6U_ryc3_VrZ=OE`CB&kd;nRb{rW8GWa zX-?D05I)l%p_4hntA1h8{zmg<3C!)hcR3%FiRB z6qKoBBPmfQWq}x|jCL)TP=;I-_f;}}3)QST?w14~hCl~Vj*9awKV>aA(ieyxR(`&f zpO9fLHg^z&$87QEE4w|NYiY$7rc&*&zjK{5vH*pea+4&~p+VuU1N6I@~;#)t? zM@(q4Rz@$}e;}`h4@z%KE;dZ>6VCB>U|67)!Y7$fuU7R6%o1#Q#A+XN+6mhyt@>mYybGkt!rwAWTxm1R^drfprhnOY4diV zFG@n>I_FW7F7aTdIHIg{U{*Whs{#h;HCA@HKawZf*eXjxUq9BS{ZQYn(~i{F>z}rx zZR*iBh3zpmuAYtzIc2BWkF*JB1$PT@rX69^e&FxM zS2@O~^U+6Z%l=d>9OvWMInc(ITkYj~Qb-$U4P&*4IpOLrUZif{wO@cgWxBjCpN?50e7RXhR?8lMU`peVyfA{in6XJ$^a6KLds268bh zPtwADI2Fuoiq7P3EKS##xE3yb($(+S_XCV5{Yo7fO^%4y5og%TawpN1Ndbg$)i$=q z#wKlC&7U)!Q)`I=%db`hU@TYt8LN^%ld-&MLVyVkHm*vhx5`}mpM0p!skFp+NsQ{` zq}zXfl}<>%ZDOJtmw;6IqlW)onahXWn{LvN<7N=Op%@m}slUO>NlBx?!}tdraN26s z=>ql&9Em{94T!RlT90#Xp(Whd`SX@2vYauNTVQ#UwEfd3b3AQ;Ot74MON>ijl|INz|LL1> zKYm(Ho{gCn-5xjjr$5n;M}LC9Cs$?uu01Xp_R*Pp3p<>aH`&IN*|=uy)Dkc`Tf-=< z4jM|}0HKVN1?-hjzXIi+SJMQrh!V#CE)650gckle&0OXTsb@;^6H9KhqR z)l){_((@x@U-lDydzPO!6#x9$5s(jti;`QUY%E#CYglUg|0%7%bgX}_3+!j@Ji=h@%)cRAj=VG zKwR`otNXb~=H%~?%YgGq8AzlNn&yA1bRCW;P6%?rA(=uLfbUsU%CGU`-@rMo-9x_yP z#8AOOLxt?SWjSZ!%SQbO6dgW<6&yA+;m{%6iTv5C3g@hvIJmN4&PsL)=d3QAv!-w^ z#?EkCRWP_}{OsjpTJJ}7=mOWmF;9FP^vdb*(hi5MFG z{0Oj;${`7xS7enZj_bI6!mQ^C=4>dK_hQlfmx~r)FIj%QVmsqgyDh&_y)QXP8%H9v1IRTPqeyv+LUZcQZew9u1=ZP6)$xrZ681D2`i^&jVMPP-xvWJEiplfW?Y3y z3v4<}{Q}Amb*p^cs$R6J%`&0KTAO-; zP21mE=oA*xtRX*=$(y*opOPs|?C@>qUyCKjSz-IwenO@MONB5aJT{~LWyg(Db`Dp$L|afzBM5&Trk&OJ>|2wnNGuSN5N<44*( z`IS}1`Wx9kHSu*IM$PMjj$lAX^($8Ol2ze>ICNC4v?(VEH=6HPq)zzYh@l-|B;$ej zj=mY0+hkiVQ~g?D+qnJ}R?f_4{$DWafnkIJaNHo-Ee`A%8$J0L^fhIL^xOH}KQ&)=*w`+|Z6Avx81k8C}wqCzAL(#}pRTbJdWi7$&sAi3F+n`E^-;3QDU zq-?Ot^;WsgD%MyPg|5qN>M1t;KvB@EVLrp}qU6duw?<8$>HdrbFbO4ZY@vjK8{PQ> z8?BmnDR%Lw2Zj*_z;UBQ1PsMRaUo8Z-~gc^2#j?IlYrl;zAHN-P*ku$@0-*aEkG{IrgLh^K!%0$Gj#6DiCnwgcX4 z7A`8scsi-dDmO_ro6rtHnEF7 zaq3wK#Y@&387UZ;UG!EcoM#1dY$AOvsj;O*chDwMa?6=i<5D2plP<;es$R~Cf8r6y zZUjQ+E)u;!C5eC30h@%1*zUbI84LGb$4QxBw=`w~9mBK~}577v{Q3|416+4K9 zvQG&Bu~VX^p^}I-BWbKF)l9Rn*ZTg=lCKy!_vGuoCS`H@igx0kcm%Q=f#f>FYKUyG zJh@XMz-7eZ5XwNwDs($Vk_%-j9OiRXBo!pH;Bi&DFc-o*)X}#g3=T;H#urX?Fqh5b zO*pfZ{s@oFsDD|YF{V^}QN&k8o>g9YACx-0l)H+K$&_%0vN+Ee zR$VvhfnkIJP<#W0F>s@ruGxqlL&PP)?ZvRFhh^t1;RdjvW=~)R=z*~_yx|L=fj~#l zT%z&K8Pe^MzUUW{N`Pt(`%C8g@%)cRAiELRjT>KC4SHYb_7LGDMS{D_&hXSpNS8Qz z$Qj1a`#M>x=C0K)1?Dqc`d}Gjw!6X%)4uA45gwaS|FSem##C5dp`p(s+`wled&U0( z!bULkMQLOiaKk}^X1Eb_&?Jt=lr(%nt|x$o559B)d!@fYSqd&kfQ!sFyEzkI6_3Ck zxbc8B(^Lqo02~5hkQoVZ5t6Nc1Rd1TgBwIjQS}i-1jKO7I_cu3zxbdTRNXl0fnkIJ z7=|0}Zy=#e9!hRrCewY+9=M?n+87HKT#kFJHoZuRhTUD1fE%=AlGqn6M+Q3F>xk!n zJObH`fN%qIy)XO?C0jGCoC9cNChhPY2M}D2;?sm1ox$G-xDh~uZ#@K!gY32oT~^;T z!ecY)U-mp~@HYZ(oU3{toVp0Q8S}Gk#tL7RfD#}EjpyiDiQ#p)0BJJQo-!ad4Z&Ss zluTJQluk$uZl+?<$a~m2}DG$hGuHu1}-sElqd%~Y%@E-Rc0}gIZkI% zNE*G?N!cu6jnI`I+z6n7&y3w6qqS4@t)m_oMi>D1H$1*kb*cmvX%C?~!E_;;LQLma zJL?qGy-@U`Yot3Hb@!>oLvT4F+z25KU$7K|8{NvVBTAg4u8m??O6qMhwY(q45>40?^3dhVM@&?A9%g0Z>CAlyE4W;sb6( z%@hdl(}V@+h;idD!Ho*VMXOG;;uDm^g7FoK+EfOMoo6#&vG(N}@U9Kk1r2PIw%XZf z-Ru4MYIOj|IIZnjb+st$O27o`NE32k=D;ismff6*uZl+?u)G4rtLi@MrX~7A*8aG) zJ!x&vSo_o3YUe3yf6}*4sW%f7l5Ojg3i2~8`?pv#N3f;Vw#;TcZ*42BZH;xVw9Zvp z#b6yV?`tI7KqnBTqdf8{r&{t-^~|LPnKrnz<(=`c%~)d77Fyj;EOoI|8EY^wA-j^#RRePC^OQ-Qtt0iws`ukXktJkfv)C#Lv@7&;xmRe`2HM0089)avefXP!w zqxqGZS5ptLn$sM-{=DyO0hnik`flY?^K~Jb7Ws{G#Nk6bjM_AEOR(OmR(Tj>^oiy=0%wIa% z3J=R}%EVX2BcLfnTUcxo%}FsjuFkh9bJQ4d$^!p&fg%|67%e_Tx)97*jz=#o)O4kb zV&NhyVh(f3LK!oe%B;eLqC1wK6tzE|a?l8m&8UAl>hb{f3$iGpp!7W{f;v9eiso72 ze49MSQuIb4WJD*XTiu8}-=kkk=*@kxf*7?w^T;Q`&o8v2912afKC!y3R=dM$KbKmd zvMTh7{n{$xI{Fv@vK@i?(7lVG*)FU9!05$O!>oe|Mqd>U(gpG>E1^5;Vb=bP1kaPw_*Qw>78;BwM)aMwHQZln4QGpZ6#qy)F(uXtg~Ro})L?=^c81Ju+yFWj zTk)}0a-m8Ql_=rAhNb(m2{HJeZnvcWb7@Im>*!JQg;hKfPycuXvK)c$gT`>&Nc*%U zmpN`c>+=?%2+qcTf*UH1xJ`-IfEx?9I&Lhn+J{CxFpMw&5pJ-!W1$z}2E{%Z+@K|m zBeu4|<-qH3o<{L8R&s%gmtm6cZUUP|41FDhPHo$S9vJ{Be<;g26JHjOK;&i&cQ(>r zNtv~91~-&r1vCO;keMCsZ!m#_+w4umScXGCz~5N-ktH7oG%WeR2#?LEfBBDagI15= z2GlRu=8*9SFS8yDOTvtU=N?Eg`l9Dr*O1kG@%xe{R&|5$M=vRbN^4b}Ntl zjrjS`b_Dn)+C961vys6K!f3?Mc3JVo3h%cr6*prK&;UBZI)&K_Xx#2b8`FE;-?)(Y z#)O6MT8$PdmV9v31H%Xd;JAS<@%Q|VVYnf2QO9b@1Ma;VK% zD)%_T4Q4N(;Vy?(+&IiEPrwalc~w%`eTRzfBivw-@?eY`BcR66(4O&)AA5Ww<8R<{ zT&7itGAVd;-uAKXE=%31BZ_aFVV(FJEG@~NZ2!}yfAlt4B~4X6vXc8p{p^niAlnhB z+m@bbBv8C%PwE#Y`=zCRWeva9e#zBVa)`CibRLKZu5#E?CV!(Py));O|Ff=tiLCPfg$C(!|$| z`Vl||u;)K)*1uTa|FEt0(PS&W-li_n{1kVQN&Aq^c*t5F z@Exd(k6Qa9qK`r@?Z9A`J}YKv>Sjs$kiCUgI^!v9q~~U|zb?jCel_jmt@H@Bq_4lm z8gH>CSfjUT+j5JfM+@53&}}?=YY42-mow_c3<@`p82afL=_9_x> zuH-iAr++*ES&qQ?HXHBr4S`CSpA*BhM4=9&Z!8ncci7iX#=>#$Q%qB17)tPzBncRd z$s7zZOmMzpvrB#2K<(!Exi$?&>Nm{n4f6BX7 zd6J4#q*ob?37Ih$vD8Gp#j2=_Uu;#kDkxfdx@2K`Y0pIN^)6M^iotlVn@iZ$JYX_< zz1=&~b2HjskJc&Fwbf7$d%P0ap$UR|rqG*)227_|vlku)aZzn=l-y{=b!od+9TU_m z!Huh?pCnOY;l@s-QDk4I?VEV|$0LyC2volnfkyRvJ}nJ1!hb?vIqK%$Wlv=eXY2i&o{C z6zM-8ScirT3RFZ#u?Ibwf`aaSBRw~x{dL#hppJf$3fW3eaeYxDq8UKA(paZ7KkUkZ z{Es-E!H0Cn6tmw#y{~0WH$mVPXcIEf8f*d zk#ze}GOgdU;goVf!&SUW&L(MM-7mQ$AgS1Z9(P5;GC~g*z)YgHefMa`g^~V#$Bjy_ zqd&*W5Hm-Y7@r}y5cm)Dc|1v7^Q0Vzfg5S3VmDm4kAa(^*533%xXh;iIE@=0TJmFu zj%`-`nN{x)dc;5R2xK<`8PLG-P}t3JLrS6FGq}wpVI3|cUkPX+;dPts#tmLdQ#cgj zK#&R%s^ECIP=AaYe-UoD{zlC?R(h%$A4s$(gA#-|PO>Hzk}d$d+u5M`X2u*bO#*d8VIFzm=Kd>a6f1u-It0E}MvSnL#QzpJD9sw;+@HDDFRFs1@VNH)o+Do7T zP-HA1MgR?Xp}tP3x=U-52sd7INZ}=ij%wnftX76W2P>DFyGD9$M*HiEZ;YvyzX5KP z(c}@_z}a9)5{$UhiR!yl&i{pOn+WxwA_$n!Ko(bo7MyOZO{5Y=AuX*TFO0VPx3vMS;mZwfb>pRfvOpH#fp_$_{J>j*`$bv&ZO55S(T7dxle%(#X4et<1d0lU#Gagk)+@2DJo9cttyX?L5%bS z>p?{gT{T45P+dcl?NMuc*zG})YA|tWmgq?PJ=S)=rLLg2V}gdT5Osp88(SFZ{EAdy z{1cBrb|U~enOPLnCJEQ~(XE)7iLJHHb=I}ky0LZIcJb>*>v_@b1?yd{Gn?+Ftxsak zyShXtbLM*MeAzl*vaT20XoAfCOV+vRFM4=J@k#0r3mGPFvUJr_i>;OZu*Ixg*EGk`L=P>KAqE0tDb$YW-xHOTW`O~K)EZsI~;wcFrUh0>zuU}_pH)Z0h;t{aI zK`WeNMT1tfmnemW3#`ZmrqGxwnr}r5Z1Uc212&1tHrpok%iuI>?)9oqael28p;L!A zRoXaVGAGQk$r$^*s;fpZB>Y8xdZhQ2TWI5|Mffb}(gbDWh> zmU65r?vYlTa;T+Vu(Io|>?Yq{Yh@X`&dP?{^;UALmEB^~E{W%VJOWvcK*gO_ahFxx zZ58)e#l2SXfK|}B_UmYu1N#s!_$@u(#1%IP^9>x+(n9^N0e*v2;r#-|-DOej5330Y#KrKiav_7{N; z0vc|Lh+b^d4iry=I(mYi@ItFT8D>p+y~;|D*0O~HOmRU8U)-hmh5{OxtMq6~hy!B>RhgTu zOnP7A=c7INkcT+#8R4-R^)EZ`tI8(!*_6YKK5KN7uOt;t$-Kvo2v)-Z3s!cG$*y){ z*kw{1o_?}A9YE5k++~$tS;aS2`Hi-bp(Z-asy(q%x+I?d@d#u&0#(1Vs$W~x-^i+e zW7WTP`<>NbzqjPySnV&Zg!aToYl#hRhzoi@D47>R zrsuMtp0I#=SSXHWcYQesRGN2va!S>2R0 zN#{+_62v(HH{@@?${^DUzYAk07$^}8>Q~yTw^?bd+mq!(6JM4VxJLW>Ynj@3p>2zO z>-L@1<8QDc#@|?LNwTXnFD8?Mkyt@bo5ZV|NF5Frf%rj%i*N(IueZcDhX9t0d0!*p z2KBIGtE`|;{sValYgSJ)yH!`4s`Y3kMFx-HZ5g4Ii8vrD1Gcdx=c0o$% zWAXftMV;6_Fe3~EO~ zU;ctEs!vfuHpY#=1UIUO;RYlPh%}3RoY6L+qA=rQI736Ak-?2qlowO^379b4(l8PU zG$GxCA?&u*N}tGb&cv6+BOu&x(2&28aW;a>5w>4j>9tmTlv)QS@s`tYF&sejg^0hw zN}L*&P;zyRIvN-B<}Y|%^{@a=&7C7WHlzM!>2Hk9;Kmsui!z=PV8q9Ry1&@UuClT# zt?cr&U1nvMi5@ofAjL(&jcTgZK2*%Jj`9Jxd@%abR`Y=kx4I9kJnn-KKmXZ|fL6pf zw|q|_2}p2*D95k%u-dP!_)04|l17a@`WCqA=)!q+B5GZedH^?kj_jjF@CQiR4* zTE})ynE$F(vq({k*ijD*BMd+~F4}8TNT!fI3F{OF+1G=_qO}G@X$?Z}b!p?*8*SQQ zHvLqQ$=m+LTK^-m=KnC+zgz47wi*B1TK{?SHV;d)ZE!__|R)0&V}VVPUd0 z{j)XwlQsQgWX=Cz&3}-U-e@RhcfV?_Pg(O)YhEU6TI%++H9u`lPg&z5*7T@Y&J#jy1msR-T$fh5l?IgMt)4!PguydeI-Y+_$M#jMw z*_6F)(rk$b(mJ;2K=p*>TH3{`X)FfyH0u-WN=Aidc&n zUj7CABN%_|U@JSq>aTOs-C0(Sooy9oNxrxOGT!-Cae-A_=v#JtpM%uHPVBUKNS)K+ z@e|jp_nn|^KH6u0{P$-&0=?l8oFRc1*$w{d|J7~pRV>MU%I-0-*HEzT_L)eop z9>`3gW_hI*pDMktnd>~n!D$#GR6!a7F&VGfRM{q45SNa6U>IQlJidYc#w1JrL^^>a zSQ$^Mk|EJaH2!8QJ<{rKvWhdDvI}cB(09)hXq5d#b@xznD}JI#sf__=dc4k1&- zMZbwm`4lYH-^liz%f@leHGN=-ys9bFZ!I|=_kb@ZEu^II*i}y8#dRTDdVilpl>_UkV{%y@2 zZnVHV!s>2t&~RsCIBrDF2L1-P;n0Ej20OG?DeSUAS~Af&CBQ`*H25|CiANy25g-Yh zg1PZCbxVOm-uSqSV^aZv&%oo4Ye8N$I2#}ahReaPH%Ji|+$cHG3VW<)o#OmrZg4VU z5dk;c--u|95DzXM^}sN~0Jy(_{)YP-r6)LUFyQd($fPJCCEQ5;C%91_7AXNY+}Qv( zD$}?zFdR4N$LG*dwt=0u2_u7-{_O(hWrhj z4R9kPed)LnRJCc2Tp8z6Mt}~-jT-51Xq|GRca+B8$l%7ER`vs{r?f2uMcE)=Ev!>OfUrEl zsi5%zM(hIf<_HRF@T%hj4#{--r_8kO&FS@v`x{YwgAfPM5xkDeW84@4HSjl3-k`3H zbxP_Y@jpvxe2`hE_)?`D+(2RD424E35E4Yn0A(=Hg8(VbR?d}Go@bTkyIrU-=`_?i zdW9QM`%>F1^|9NhR_`|Ir++*ES&l&c=T^VV8g^(RB_FnyC)D|iRtPmDQ&^|Czab>S zoC_-DI{43QiGhF{r|iZJUBXM`P}o8lr3~D=1xYD_oW#Jagd&af#DhHS`)0 zbYYm2OhM|o>`3c+DyQ@DoUSL`*k|Wy>wGfNy)4naJf~|#PS47m-c>ohtFc_-)pU}D z5VGHpEgZ6ahis87v2SEq&YAeKQ9lBjLW_oS_Z!M%Qc>_e@K(;OH**Hw&KY<&XW+e@ zS?^%hza=s7ZleF4oSD43#qEupS+D2zzbbu81gU1fZGD@qf1S--WiwYK`c_BQyC%`Q zI>9t%GIuW<;jtO@FWZ z_>^0{Z88VKJw3vT_MQ(W_L{M(CsD zRCtg1gR2!UC4yRc*$9u#sDC+%Z_vkT+A(UnM8P0+#5BCCdsvpb$I4*BkePztb-CLW zDvzzY#6<;9Qax^J0{>LMb2Fj&AWmuMoI>*y^@K%@3Q8FLrU{~k zezIh2NyXkE_0gj4adT(^d4pBJa=dQT1H%XdAcpzaS}T}qQ;%2o6Uty|lv(qnC7-h7 zlh%O18g53=+z9#`5W_CBYJ#7KTJh;>ZBV<@`CU-F(pI<4>bA?|X~5h7K)$tlsOJ2; zpUZO2#Fxb*07^vO1r7#=r@@hMkp1th@e9?%mK-B}QR()O7WDG@s4EjFLHaeA=WRg` zs=PrG0{-B};2Wy@CjU}-)d-KxsDD|wF|Jk$^V5%0i$;iEwGUa{qn3KYQjc3bO3@k6 z05KdiNLSHrY5HMSe1_vjs69!8MnI1M9V}0T8(*lbfCUQxQXS(){QPG-0)mKu7@6&G z==jzecR6mjE^)w(Bgd14z{~*-o1Hx=}v@yPXZ(*FSbS2yuLFr8lJYMe#S#`@-J)A;$yq#wS)8k>ke&hBx>7@#;$hX$C!%7Hp9&PRGU9b+^aDPKF4Tlc6(7}WxI3aG- zZ$e2@e1krcG@<64IKD9gGVXyJ<#1q7fqvBL0F9@G8>z><#k`YYa5F#*#gVR4M0)Ds zR(iJM#-|P)pvO*Y_*mdj_i04;3Q-Pz)e-kSV%*63sfjNnf`K*QSA1)AzjXVB)&EQf zWk0i~&#m}sD?LgL2Y1VuLq_rjvY-Us1t=j81Vhr?g#_6bZuE`UI)x$&G<9zr;jtO@ zFFS73S>Zf2gNB)3d#A5cKn$RRb;`rmiY>90B{t(RZw^a~*k`Td8TTU)%pap-XA6Wd&>CB5=oTv3TE*KLg<#1}D*mpOWhvf8 z#k*=4jopmJH2#Z6pl>5k{CySgq2fJNypM)%X%R$2w>7>>lj%n7#jLaP#E|8FN5#xT zrsWnULpRqj+OSbg)3D7{Jk>gBBfMo*WhYzc7w0p9t$*{4TE!oK{PB8UXuyC0*IaYW zKXa2e-gsj}kooMh&(^G2^Vh%rb-g?ALC-$>?5Cf8x?cZ=FFEJM7hn8m&fM_Yf2K!S z*%~lZIZ&EYExO0Xu$b}Z-?6<3RBR<%T6xFP!>yVdbn!GQ4pS9mUqp1wumG?GxoiY@ zkPXCk#_5u%$27P@BjB1|qQtE(w<)nyi93|IdA$*iU&bTw&yGO#a#i1_>XoWlY3Y`v z(px1#;)`6&bkBr5$!iW`i}Uz_6rGgkYg@YIXf;zuy$C{(X+9T6NyB4xnRM9<;$0cc1cMI%N$K3{BcQ9Q4wze9bm$K`|TG_JMX;n z&N$@==rel_7tn)0G0m0Mv{>y3CIHH zupOPEiam_>xdNvZp)zC|Qq9dvZKev@P=fOk)m^OmxvHn((PI1y2qc@FH`M2B`;PIPYM+t z=PF51!TEMHpX_Wa0t??)*K#Z6R_!zq98HK>I@cn^jCO_!AQl@It*MTVj_{DIdNv@T z%^CzN3JMCs%f}vjjPp5RC;0$=ST3ueOSMtAE;qtu2#kO)kNqW!7xPG;kt4IHD+qV4U8cKm(Eokh(U;HI?A;C zcolYAQd=W6e_vOU|FsMJ35_vsg#Ukh-1rd)v0em)5>K8uRPDEUjN*LDsRuWhhsilU zA(@lNY@eUhIAE4?oUO7Ssc@XeK4^*x_J1USkn|lQ(wX@oC>_4LwRX|DbLWN!Weu`N zh#vZ*k3Nci>-iDqW%O3mVCAAGMDW7$4-1(SR<2wbX$7Br@=1UKv<}*lvcWEcWzNay z3~#;lR=6$a02iUnRhjS=^orH1SBG~2u}EJ6f_TCM{VymmeMXFMVH!7vstHYl_zlp2 z!3R1nH)o3B#vW=~VCn~?Nyfc~%NvP6 zm8!SWY-!wZ&;UBDHq%<%$OHryX0-6v+p3^HMEHOX5ViUBkKiS9t3oHIg@w#Yk8TT| zMCu18L>dlQ0Sbi?V}}x*ziQPgZW8)AnMDnfM92efM0W%9z9Lp+UY_!&1bxGBgTlK3 zH#~}?;Tl!!rABbW17^(M4QQa(r8SLY&@{+oal~jK%9Kk^Hd8A56cSSE!3|e4NJnCm z663~3ua@|N^avPktV!WUf_)0Ofr`rvMAJY5VnoM)8_~--Dmz+*6V&;r!3A~d!3~s< z;I6fZ^bFis*AE*lJ$54eg{Pr2^S2&+*m>uj!&^WK8c1l<$lOXqTNQwX%UOw;Si~i_ z-g+zW60SudVcP<#@CSTF7=q&_dJN8qHYS)~!qSd38BPOm7W*H@mdu6!72E6MMl~A{ zc8K#-AK}LSYDN#7sal-ivy7L64P)JGvuV^3k&c>ixf&>ab)_1KOTX5n@^lsV82<+T z^p+RZ@`5!elml+ii2vdd=-UW1tr0@rD*%!>TJ1|zcfNs!O~pArCoi&wVF(gB=?TyQ zZXBl}T^h$eh2Tc-wnCmsPL~@W}k)N45)I@@pRH65s<%K71{< z713H|qmj8)$wUe8ggZo<3D<%=fJwBuKyAo$si0qs;>9>tcreG0n7wo0{anERb z!abul=S1oaotzKyM4lR~9MMyOHbE)km8FAw{gd8K2oLqDWk!hAUOE zk49W;iLvZftgzx;DJa9txZZ?Nd%U z<>;f24s8^taJTg|nf1QxKl3tG9~S!t{GtqO6SR%js}-(4WQj&BH4A6WeyTpmEZDI3 zRR2hcRTT5eb4GS%Oqx+O~T({PjOZdP4N zmkVDcKE@-k5hGA{uj*H*ZiSjwXz12zI7Z21Rg)Ij$Vr=GW^$yTV-5DY<1Kfam(>)V zKhSunlEEFk#ISkN80qRIZV~?|S;R92%Y?6RGCPr= za_}S(nqe5>N!(tt`YVQnseir(l^c2#Pf_{aN*rmmxob~W5;QnP^`~kubzmo%owH~& z;^;ikj@UeEYxbyka|^i{c7V$dH0&S^Jy=5zRq>H3I#fl6tLR`2+s~LihEmpZ9~JFs z#bo2Zcm(=30!90)_y84i+($zW6yc*qL?9DHy1TcTV0&B1*Wz)OqB3+F6QV{z7^W*l zV~0={mKvtpnOLl;pJ2sIx6$CutaNM{Rg9c6r)i1}2AV6uJJKBP^h5!yBVtl&A?h-L>JkKGW4f}@sQ*0x7 zkxKWr2r<%6l5eW+S1#|UiJT+yj38oq%NuM+-%=8JQ%%pQ?s=8n8GVts5s$#ejX>So zLh9eKNmWl)EHf>z_rTJCub(<@uc{pKu01u9Vh2~K`bt$_t_1O5L?_~V#fNgK5uvjU zx3>_2lz5BDd;(PGd6QRKl~|kbpHt^CTE%P-Se4<)iJ;)OJ~%8m0xzsYln{0Vs3ol2 z@D@-L!3$u*`Xwv`(BRsza#2*+gM`k^wTw+8+#xgQ0(9aISNI=y4(Ics;Y_wmJVr2( z2JM1}!5j(@W#0KKwl%QQ_*$vQP4R-VnX0@{34*>bcw+4&mgWjioF`A#p~JF|F^yux zK3AptT7Es5M9t(cuTk@>YC&G}BMt1{udDt|HNUJTBWoV-_h*ZH3R{?TNN3>>yaA(L=Fvqm2MR`u}J>W^N^blz(*ErqXW&YB(lL2x{syy0Ji87=Eh=#8CU`{h%iUf2m)?U;|7%jY?)>I6ex>HXXgKv(l;`2 z!+KtEp#fq59j{vOM$M9qn=0|y@d!jCupVvz9n8H*n%_{%ORAva&;IJff(rK(dPN3A zn2rI4Ak6I`p=XX+@(a6jH(PC>K~VI%abKInLjIZ`Qp5MNL|5>(iV9W)Zx9B9I+uYP zgqNED!iZBc8g#(^y0gkpFx)V{6u<%W_(fXZaI9eG!oSi41#*pA2-kUCiDl6&h#T<; zY}^Q}#SMax-&tR5roF6+(+oGpF8Ayz!ivb1xH7F>1ki9rnivDqsEIzh#IoTmqpBxo z>QhD%NC;C#Ufb8a9ygVbGn=Os&?MZ2+#lC87)HYl2AP4K@4RYWrI-4Tme)o!9oEq^ zRkE7}(!iI}$d(g`02~02H_aSMPYX&TF!D>s4Il-r5?j_gO5D3~Qzbq-9)V~CFqdI( zZ+_RL@mFem$E5y!HMlUr*d~`>pz;GWc14P<&OigX%JwEnLZCex!a4(PU?aWU%pK)F z1~+o2K4ZAyRA8IR`u$furx}wCE#~;#fATA%ljz|0XMAk9ksrrwqL3hx62*TEQlNN2yEO4G<~4v4{dg} zevq1MVanO@Z8g5B*4I^jvFjTvL~2#TbuOfJU*qZr6m}liY)S};RDrP{B*Eu$oC+sq zZ}x(c1Rx>jSQrvn6_5%-EocgM;523l1aY=Z3N}%%aKhb|u0_PDm3HEXl^@waiVp7t zTOLwD;U44|#M^}vReQ7=7x*Ro5R*xlJ|WeHGM5i>g09QCs zFR&=?kfTRxs=b600HHBcdF{DdJZ>fkQgW*CACb`KfwdN1JJ(k_i#>>k-&;H_j0BDV z7<`~Y>RxSB!?yK)_E2|*D}|nHOL&b%~t7d z8n(N-K2X=k>iUDm{XygZsII@L>l2Mfey8r=sGH*-)%_>+{7Dl(R?jCInW7QcxCs-V z9ghGb(EW+J|6Sw%q6x@SgE*=TseTw0kW?X3nvjw{Uc6yF_gZTrShdOEhAh+7Nm!Rr}?(Y;#9y z+f=E!3oOiQXc1r3pw^!@%kV+4HooBjiJwkV^N^Cas_7;*EjFpY)p9DK;x{e&D)Xcy7pnGZ)neRmPB5F66Exv5Gj7ygr&`{< zPSw;UbfPf!={0x{anvFZdR%E&p>pFUU@?w=R880WZMh-)9bAoUd2rTTokej&h=Zz; zc}hgI9&;?bq2cWQZ8w?q_netJt}4H@}3dxD}*)#*K5Vp-ordV`t~W_Jqv{ac+DOH$gzgW#L%(pgnvA zs}A3qjK9G>S>yO>yrkd9fvaqj61>$Ig4nHK3*uWZRNW%g-Ke@7lw9OvdzA{>)$_0$ zLNOC@6ThvE-bJb+kDkuce3yqs)WybuuakaheDcl!W=tt;FppK?){Yx5u64zl&&55n zeVa4!Rq+TkVP;3LX1uSulQnvk8W*bZdXt7lY9JSz`Fw+n1cn{AZ?}W7j^GU}c;z@- zCpvDhh$2_4Tl09=!VeIFmx9nH8J`t2&-8(=N9^W}cnYqyC1Z9HKm(!2&48E$?R+c- z5CK6WHZO%6>}4>In7P#j^N2N~h2xf!KyJhGr!jO_!wq&-p=1NR7HiKBh3B|7T*di# zG?3F(alEmMciwMzFn9?JW{~9YT|C+?jDS61_+BBJ~gN%M~;}X+t8fNv!fDk+jRw~ZL)=?z%c=5RK)>_3`6se`A%NI(OB?85PB++fvXBXwFugAPW6ug|psH{5z` zP#JKNVYx(A3zP?LJm@3rhE{_e?f`0C;JAS|mWZzam7s(dtg$0lfkX{gIrvZ`WSg$d zz>OC?Qj}oP5H;$@zRj8Vs(1v}!HtvE@u1_zLen(Xq6cjN#BswWIn$o?0vf&rXcH+a;0Eo0Hh$z~#Rz@U?Q48r7BWhZInRuVgIx)50ZJf#PINRc&&959Y-PAnM>rp#5hOrI ziqbh=1n~%L^axmB;V)JHYhmb!_9+c)Owcic1jWN0uPD{FkKkt%ZgaHgFfAQ7*vQ*j z2;ytX7Dy&;ICPkCAgyn7KVTST{@iO-!%jbhe}t_{_!Z`ZFov)&F>zetxY4cpGyAt* zmkN0@y&}>s!m5vuB+@8+pF+iUD2X^ZWfKS}!4b(+Ho|&D3Bh0jZnSDF^=+}DI13_Q z2b3^`1SQh27JAHd!@h$-xXgfb0=N=}5JY8o2&Elqhae4)(})0p@?<63X~a#bcZmQoz;PjzB1D6PYcm%kIlSl_ zd^I#BKH3m3Wa99IE@rFrTwq?Bp%_aAaCfN_89koXH^2nI)5WZ*xH*EAI7D-CA$M>r zwU0n%8~MPvm9A{S=Zw3ABly7aJXP*%WIk30fjAa7hq8N0fN8;p;?&SaQ|uZJos2vR zAvN9LB~B98_mWH4s>HYvBfwV}fyVdL@SfpDX;mmx;jUnST;bGOV(gR#LV%SPyGUH64K0;8reVb3-z5NbesN7K+zrx)# z0WMt4u=RMU5?3j4rHL&x^n5l5tX$vk;KOY=O|93MZ7@jXSz2Fai7@O+tc5}MINi!F z58GC4*BjX;Cs%+irz&xZ9V^dRdpTj-p^_215=uFcS(ewH)$^D=0NPG93)bUcK}g&j zRF*NJlOsJmt%C--nmhiU+zBi4y6?-Mcz^z+mHCrb=1hJtXYxaNQyh?zE?KH-9>B+LL*kBR|XA?1{Y19?zZf zc-F|9;Uyll#S{5cdHF={l%M5Hc`9$SCz0H#tFtFRo;z`M-o&5gOnxj#_N1R?PkJn8 z$^)j2kH0Ht$|E^Fk7ZAMY|z9<2TgorP!Fn1A zH|`z_6sbQYw|m9F@poo(`GmW&$KR1X{?42Ucjk>>mN#Lkcix>dVHt06%pSjN(6~E{ zPp@Rl{uA!Z8GpOWlAH;*LAA`>kHp*t^wvr#hFa^A3}aJBc>Q z8h6j2ad+o*-<#WgckYC{a`|@M%kn4Qquf>x5g}H@!#TpSuuDL9Q8-SpT@rMHCgV+b zH@C^i!KfMu3y{fl(?AH+LE^u71o}1tMc24tKac&E!5+tx(l+RV`E1-Kw}( z)px1-UX{-6+mwl~ibp_I_o|YKbcd>NIKs{KpsMdy)k>dfcPc^SK@C5gdh}|&QdOs$ zs$W4&6oQw5jW*&hE7AE+ROL)dXQNhZR zAC>H(;k&8i`x>^VkzNjk`f@J~-9v-wlsw-0hr&#V?3!+ng|U-Gyu)M|by{6@7k-PW zh+V#qor42qXsEqT`E`V9>_K)gf(w%!HFO6Pi^m?V#35d{{kt0aJq_K-%C!?JHjIx8 zf_a4J+{1D}hV7xDJnfEvLst96D%s0OJBJ;pl7n0h)bP;Ycz{awH?eQJr(Iq`!-dlD z*-fgOY4_n1!z0ly_Rl3rZTQ~C!a-WS2`I6@N)J-`fvTh?FQwe5k`RhVpQr>jQtq>} z6{P4QANFN6WXelwcuDohi>jymL68@%Gx?gTZ;a=EJOX_j0p?P}^J?(9lsV<Rp(+nYix@QNQHz4ci(%|NC#&*! zl^IVA z@@R(+hh-}_KU0a595;4S>9OnLMu3Ze7#W~Z`;uzc7>s}$iA8;!Gx25d2pDc48Mv_) zF#>cvr{SkMZakufbjT=(3qShhjWcU3YAVym1UK9do)VyJeN6qV&4iNvvBha@INZ|$^I`ms;pj-?(q93fEyS{ON zI)9=@qBzn~qlOzE86E&6ggoI=Bx?g#ApXIsLk%}3viAWra9%*Pdz|f4(8IBuusm@b zWY=m?!lhb+f+W;0*K~kvUWT`-_;JsWN)19Xd%WFmuoV%o8*cEH^@OW9R;(ifhiO2e za_hV^^S0rmIYtkb(SSg>UtYfIM(BZq4s-TLXavbltag^ak?d$1(+>3?}K@2)x zGSH~`g{t2$se8d*R;CKa^ljqASADBTfEi_20ed+#P#L&U7eNR6lrvTS19kq?(yyX` zb0R!(%W@3p0dCY>We!TCH^=3Ap32cTItKM%qdUbJ(N9z9k2M@i!p@co3TU7q3@8%o zyj5l#-rJilR%vIZG+r0)N@ zSMeliv8O`vl{Fx1&@lUm{z&NLCWTgWZ5}j9qTuaqWlZ+f@UG8+4Fw%bo>G zNa^90l}6Yq9L3m<0E&vkRdIwWk4)`WsxWlG6@P-^47Q_A$Bm#@fDEV>CXmvne*$Qm zzVV7`URKQus$BT3p84^Y|0*K@KGZRVni7hp%EfQ311}8>pYbmp~5i(yYI599~5z`K5kQ4zyGS|WbdPar39PVKF)G@ z5415PBDee)vyoOEt-8}ykDR5(S!y~*P3NipJT+dZvYl0Wgz<}x>{aJq)cL7N$A4+` z-%aQo_5av$^k*97GWtI?@-sC){;i(*@t6N9BLHh0()}0p{8=5JsPhwb{8?lFU1R@j zL~~>QWb?3kzA6sU#OE~TXBzu}5sgw4Va(kcb(a+{ZeOMmcdGp^D{4IA7Pa4~_G{Hj z==jNmD`Zbxu4dS}Ev2>0T-);GBrK+@WG5vKRQ(BRq)O~LYCccR=c{RsO19Q8 z7XmhjoG;m4!~ICW3_L$jj8f~$I0Yl8cLp0iuNmko& z*!GN@N3GzWCDLP($_YoIjAroL;-OAEvN_Eh*+i{y8nUAWx-jfRrkkIL^qG=lH1sg5 z7(4V34Lw#vXK2_FeVa1zRq+U@;u2L*v*1#dU1nuCYL2tu0Sj3Afl7bq5nrgvM;cJr zZx&wELo}TJgGEJYq85p#BGQt=(5RX9LMxgyIwZEd(-apQr{S2CTx1)p(0Z z)9o%x)Vx$pNO*ax8gDXNN5vV&>)Z1)OF#=jU&b2Y^nEbN7@rKur(~*H(ATE2e*aa^ zY3>zVv^Z802V0mZs+~Do9U=lgfE!J7&Ano{kHsdG@oB-?L#^DwdI~m*nC<16i zxbb}7rc8WQJOU0HjvMWe)}Ey?j~m^kfyT{hyiHBWQZ?V9=DXBKto66@pZd4g=RHM}GXZ}{)CE$aBhU*oT`=|;2 zq8SzpV-i6}zzxVlBIrQh06-|^c9;>HPlUIJ8uTDW256vi#DDP!^lb!2ysZ(x(unuf z{u?NN)$xQH;qJRxO=uc`1E2w102<3OgdX~N_ZCY$FPf%q{4a!{!!?orrHnuqL5z5a^ZCHwdLWO_d}K!GP+O zWsC~o9A^VabEvZG1UGuXjb~N!dWLvZSl{MMd{sOGEg!1+*BbGGM*LO{=cw~ZHQnfH zhJ!}Kay8tih6mKJ(xlO)fdWh`ObG#1n5ZOZ_u#ID>kmHjtBt@1?yqWCeda6hZzL`@ zp1rDbzMj>$>3&LD-x#7A;xzX6La{`Ag;KC&5rPcx1VY^5N=SeXQhq2VYjh$6rs2sp`>UGq<1UT=p>PW6hlXv%?yi2CT`eP z#Y1#RE(p@MITK$MkAQ7b-qDB;tsm3a<`iARm**9S>bYzn(1NB0;+&5 zY229nhR1_lZ}kc(mtf(elmKAQ;;diB)&<|)tgmPFZMvVb0d5fM1vmuTg`+{m0mf!v z{K;-@Htz`rjZ;;}Ic|kEN>U^uD5kLQ5f;@CFL49^BkKQ#62Nb%33)G`{_zO(aRf&F zPNP27=s&3AcdDPQ2`{J(lz3RJtJH=(toDaB>S47#sn(yW{W0%+NUbZ?dY=jOp@my$ zGm4%Ew87hgZG`eGp^PliUm+Zw`13iN?u!0;p4{TTva2jvrj~um4=qg|ZxlF?JWokV zFr1^Z-TQUinB8?#_PFb_yKWrRwK%)$`s}ec4C-uz>{~wqIlK1ez!SKOiE2;oH*HPM^w)E? zem!UUFS4hv^?Nzf-^<(j-Q4N#WKVy4&{l6}Z~3;yt%Bxj zz|>!4Z}E%VX|Lx_do_3SS8}Jklr!b&?5V5sCaoR_2jHZK2lhNLaKb%b&+6NBKgEK+ z$m<6;D)t!Ade*>>D+Z3cIeXk~+2fZWITP+sZnM>_%xkjJ+iRswIgM6alEfCsi#W%m z)y}k+?aCcvLhiri?1y8ZBk26rPycuT`Z@vyUWPcIDzOwAhWC$(rO-_T)(H492tb->LTzRP?s-dpy809n@NgyfnHeE+;x-0tYd2(rh`sJwK zP$L8wl(dv#0&c+vDykrmt7FipcDJmttGSR5n>ayKc_5jEeAwhqlz3K&=ahI})d(qU z#BBUrbx*7I3DuBF|B?wYU}W4^+}_to6JPhO9|88cFqt=fpqBU4@~(*DX#1_2{!L9E ztN9OV{Wp#Hy{d1rq^e23RNLKZyx%=6_o!i+>hDnf?H(KEp<>2=ev|4TKgY4M$R_sS zsd-zzV3kkt(kADBJ*#ii{S>!vR2qSgQTIUkMXoC}jJU9!&phcg?7=4sJ)=2LPPM%Z z0yi4`gdO0<1f4?tf>py^#Ty=}pw%^wP% zZ2PYrH-4{{Kd9x8YWY~Le^3JZ=P~MeTWu@Uw9+MbRqj&5QZ+1bxlIiep}yHlu9#zG zq0RZiDS6YOvZMkFRT36#x-0tYd2(a(z@GwHF@fdyK_CXK!j0m^m8h$NeZw3j7)Y^# zhKLT1xKU2DJQQ$aG`R7EYJaBMCzV8=Qu1k&>Q_>N)=~G25kEFOXMWfiH~PA0;_KA< zj#}PP@W!@%sJ7pz{Uf#io7#V`_CILEpG>NV(>O-m@2c&7wcc;;m1eSB@vY#fU8?%K zRgdm*r-ztA(1B4D+_=thV{-1+ubL7kuVx_h(_TFM3ErQwg%@2 z5p)-+j?!#iYRaI)!@vT1z)@iP6xTOupRxT2&|$a{pab+s%X+v`xip^t@d)&B1lXIH z5XJF=Zds|7B>pl_VPKBZvmx72|3gL;lv{ZFmF zH~jCv|NFn!yWv}Jz4h+9@2=Om3B5EwEOe$=m`Nfg0U7g!DI4riAc~)_dI*u&r-Vv# zB*S`EEH7)YZ-5&In|-5}pipol2#N>j5sAZysT@C#_bKtp|EeRv4kdsF#*HQnq=cRS zM$I3oIiSZU8iBsC$n2s$Z>srz-=VNc0W<<`c;1R>9ZOx`SnRp9#E!C0Ih|D5g00_H z!z~sSR)0-c!Yu9eo_W=(RZ-7JAAK}s%9QYO!GZ<*?YCcO&zLcT%b$Acse%FsNbN5t zC+Cba&iL%J&**>bvB!#vib6jpoOj-NpvulW?@SNJO$9pAxG~;=1$9lYaUQQV_OuO*plt!=u zVTXdI0XW>4sxRMgwHhupe@fA$ysckT6JTPYq|y5A=;+9NPL_Gp16J@C-UUFGFJB(o zi9~|Sx7~Kz@Uo|;XTX2~w0GEH2OwhFv}vI~YRsHD^VVB!T>vkC{p(+&kNze%e4m28 z0dAmg9AO1&iL<4)Y?6dQ(gx>iEVUqSSLbc&yhR;1tK%kh+^UWnjby3xsH`n}v*6jF z^gPj_nKHFk2tB-oY|(3Frs)~;K^V077>~e4kHGhO6=a8AZQUzk2$ApfYTLAI*Q@%c z&^NNS{y1ye?=}73H2ve0Y#m>&6>pm2Rg!&gB7S8(YHW3DJdxlFHtsv3c<@oix#1QgnllM z+H6$<1OXRZd!YZVS+gek=x=gE1B+D9tkUVKKSHBsYy4vMEYsxsHTfY;S=DdSgBh`2 zA-JpA13U=xsI%gdll20e&ZI<_K81btBj)#qmpQHw-;5%)!Dp^?#= zT@M-P|M0^PqsONmsSW5Jha7T9cnffdR1p9KGzk4b&Fa;w14OXpiMBb{T$9=vMW6c( zZ&+~z>Z(wZiHa&#(3V)QPy?4TxLz~2YH!Pjtvt!3g5r1Hur{_1YOE0Nf$Nsy2nfug zLw=qE& zw{XootARo;ycI(si^>z^En5w%#r3x1Fd>xdHrtg{ouk5On)sTM*h0OCn~|K8(Kgo< zY@!aT=ZHLd?0ePgBdu z-T5017t!OT{3+CwsfEFneF~9X=UFx}X~mXVyg*g^shK1F!5-kuKk>TAnfMpRDf-8*G1Y%+S9aa0QX@N0vq;Mmk2OgG? zGwbnSHRRO0t0fS05Pu$UqndcIpDGz-wd(M*{48?}<90j(8!-YnQ}CfQ;XwIFbyP99 zQwfv}Gz4m(;Qk{W{tAN*E4PG$6-pnM227oVc-^4Nb2Ma{CcW;e2t;8E)p)JUF6z}) z0{s^;OE?p2*QtY$xm5{^I(i9w5xVi%Jpk`mF8e*2#!3`E~WW>Oo8rJeZ+Nxj? z4PTC}%BP=x8eFcy@)`QU5c=uiWn*I_8-FKn7z7iEM0h*qEbi5GIYG zrH8lv(N-nWG5B&XzWCx5S6mUkCAvfuwt>0=N`(ICd^R`)cwjZ<4`5mE0lsN3tpdWp z8r!GTQh4G=X1lPsF9-0zfE4T-mJ%CbMbrqO5i(Xdh6cC+zi7Y>S1{O{td%FjaK*=X z1U7mE8XY$pKT=BHSZewPh!KRC0V5o~(oCiSI)IBHh91|g)i+Y_0x#Ft`F0h!fpye3 zDd-zD>+2htMvO1ssK|`8^&Sx-FN?{fx{O(k9$(; z3^$ULe?{Lo#melO%8{meuH0WOm!>HJ9URa}Qnrp@Bh5U*6?Tg4Q@{=EqREFW%RbmQ zYRIWaV*AEMFPHd&)Ce?^5Br|#KQ!xT?M-GEHN;?|BEiIgffVZ~P=J9HOd!*QBfb@0 zf*Yq<9fa;*r~$RaH4W;!7OJsV#2zqLmNqg6av zgNCc+BsE`TATa7CjlNA|mZYR;va0q`6_myMh*~PvM)p?KUKz)IQ~i}xVA)q?yD7iL z{JBt_SIt%xZgHoHuDQUBPVrwn0(~2S8hoZVs^%7Rvku+U6$#v_*Q)j!CDAK_EL80= zF2|~NhE;V$NW-il*61)Z*Vi6zJ=H%pQ$PK+$ExN>syRZ{hgm6*>O)m=xGE3ZaJ{p$ z2=Q7RgWYn&o(-@1-`b-3t7I3I?Qgt2FaaimK(qF|Q3BSyVs;D@*a9i_=q1}~pR?4qid1l2yb9tG z*ys^x{EaY**8g7hAFKZVQq$kn{D0K+H)|lR|DonjRW@4{-`8jiYgjNakfz0AM6XZV zJ+POO^vdyC5BZp7S@05p9JOm>D*mSy+W)fqc-;8uR&C9~y)ILdST7H{ zGiwqC=LHsdn-Z$Fc^iidl{CQ(ihYmiRrQ**5E1&Cg9i1L0&h(rbZdmQMm?!W{aLz0b3CgkIF`?!imG2mBc8JI#6Goew z2gLmUlG|?;0&yJK{cDkB&SKMPJ41OpvanY>+nffDB^x2@lVm8!cy)#q9{A*(TT zmhoH}>4e8rQ`yk!8zLuJ7KU;2o?xWwRfn6>9tE1pKF_3Gk(y;xGF8 zVGzhAAz8kAnHq6(Gggcw89l_v5!^LT^_SWJ)?aQhw;bykUK&@Mi>ij3&$91m4O}hm zZJ~a&;YQ#Id``7bnWW$%gXs%Ase{$$dE+IfR;=Mhyie)lqKPjP@f(f5UW*$6F~EwH zM7Xh+I_`JGz$feF*eri72-6-UVQz;KOJEC5SQ1i>b=-K`af29C!a^K6EU3ew!|((E zaa0LMi*LV>k{|tg9y5)_qSGz#!`C47$O3?b6$*?5BnhJG+BB$LNG#}R0tyyLIc;3^0DMpGmKI{wRa zkMemc+e>3sq@uYjpo^dmej<_dR9~xp@LNey=}VP3hEgnpru>WubCOd$Y80&_M1}wt zX$-M&k#ySX`q~nBl^HF(e<2nz*gkK9eeO;jd>sh`d z0J6fjxIh(WE5Eg1>s77-`Q`=X#I%lcQ#Bdk5VpMuUl4r*NF25>InN!oQN36VfD9Rh#Of14M?UOTs=*=7eLyE1C_#LmLQ$2v)4dMD0cIDi zeOq;}tL_cez3TFs>Pa1#Z5m$%gNsv3Wxnmgm^ACEvEN1*XzHU2?Oe^k?- z)bclzW(2RwU#;ExUuwrLdXdWa(CC$Bn&bD;gx^8`iL~Qoo&ZY@EOM2L|7fy!3%drQ zIZ$>sEC&!K{N@IAJ?!WKnH22!RgH6$KVm@lPt?SIhfe{8eD5DjA_hJzUW|bV)&n*U zEJj#2;ZC1mu_BESeVpKtBth%&itPa+Qq|k(A6^4bcgtx)nzv2x8B%7dYb1WhBYSJ=LTHl17!q@3fZ!Zzmw6^zt)Do4yW zLPf;BCMPo#7aQu@fGkkM6>7XPJ)~Eu@e(zhnjxpC@gy~zqK1>r_g%cb+SjO!+E^c0 z@vL@A;k>E#_cii28u?p|LO#;yk2D7Poyq8bSHpvSoHOxd@d%9ica8e9M*T&j|6(N% zM*eB7jQ*4L^KRLB8u}fzU#7Mz)po7guQ6%6++Ma{?ZV3_!r4z~!{>6Uv4^!SP}_X9 zUaGds?AXe2fm)DD)G}Ai7pn=mL{0OIk*3+-CBCuoT(w-L=J`f%#R-iUsqsR?1e0^r zcy{X8FiQ<*sqqXo5boY%mWuk5m7L*nyy}q9=6IZU9%ntF)80K^rMp`CM*WF)p{+p{ z=4mdcs}VUvjc3}&HJz)b^VM{LN#g~oKg|lc+GCug##8-ac&c;Nc)q>Mqc&Znra5YM zX`1bieXbhLHsQ47@z{RVe6E_$Q48Pn9B=cI6V5T=Q(EV!ZLZqqyIi97xmF=Muhn8a z^5I@{9x%An@}2b0j^mY2NllJvp$aFq;@mFd5-gmq!Ib8ltie-MI8_B(Xz-RAyj48? z;}PiN2-sXgm`hV^$_=I_>=+r{AJdQ8uM~mJBm)eTfL;?8Za`)x9Dr0YE}WvlT^6$x zWWA!Xb~olQv%1TK>c+Vp%C50bq4sf3n=K+Me+)e)m674Vg!Dp{)nZUN+6f4;(>tnY2j$kObSIVl&=|jm?r8k~7L2-sioWZzt%@u#RYhC7Y^5PC zdA^EE_e#ASGF?SmsfZ&I&g2p<OZAQz6qQaQ zZ^Y9-9)UiNK=Mu1y`{QesrG#loz=R?)_c{3O3d~!B!Uu&M4G2+jxK9M7O`z&_c+{e zWBkK*t?ltW7$b3!f~0WcLRDR4_pCbG*mO&eur)ZaTot?7wP-c@JznM>RcDe*c*&6- zj;J?5R~f5;B^okL#alXsGS>#>e4O&oWICNf85U&(GGugklz9+il(G{hMcq!YOb;Z< zh@Z3e7%qfDd2CunSW0`zw#uJm!s3zJxOfK3^{fliOXV+;^ zjZ3ZVK~O?WlBotgsdw3EB&_Cg|9s_CE0-Na=%Lg5dCR2IrNa2YGo^o#1{5lnyOnET zg-PbS>$Jli{BEq@emRy*%9d(S(j@hG(R0?=TcOk5b;+rVoHS)hm zva*#m$S&-c=fcUM!SM?&%u`l>W5ciu3r$iFnekw2d%|P;TRfyaAy;KQ`8rP<9zNrv z`8t5xz%pewJ8uvCqST{@x(D2VCKQSuc)h@l-PLfes*XxWy{-ox;bl4$7Tmyv6mSEc zKq@@eKf4Ze#JJJNH4|SZ)qxwR9Bh6+uw1H^>pY~&*M9JI8c;-_L4%iQ8o?QQzDn7r zj92G<>G*^I8o)&qLWwIg#Ce|nCFvR8`nT18i0N0TMm2}1>_AmQ6^PdueFNNZm4lsK zHOI6u?Ck z-ibd2esX*z>{E_3+a}Clgfbf1AdVhZ0XZX`gpkgvQ~%+DiQn)MuuT)T7jPqSknJy0 z`;_!1#r7#XXOFu+XWX@UCfDVSTbMU)QQr9L^TywhH~z-F@r&~&AUEYrxH)gaEx8kJ z&F;QsK(1c#D!Va2WGdQo!xcS!0wxJyO-wm z+!@47yI5TFF`qW4#9p?V6ttKLmv|WK;n-#sU<;@eNf=4JIdt-HOA!-7G>H3gf}^UB zvnIamTR#H94`}g4<1P5g0=@7>;@NcnAP!KQV7MJSjQuj7LZy@><~T-!5!ys*)!8Mu zK?_FMOHRQ1X;YL2k&OgCrQ)W(c-6%3ni&=kPX9df`{1U@ZA^ueSsr}XfxwmYkE>;c(~@PbgoJ-RoTTVo2xSF$>J_wpz_OAc7@8WQQ0C@Uaay< zH2lQ<%j}=X%j)~qk;J{Kx!0g0{)fTe$uT}G*9h2`X z`Jqb5H~+pyEcT>P3>T!JV&3Ax7@afW4s!}r5GH!^7q6Q5 zU9)dkWcvsUX9YCMj#0&lsyxl3M#;HjZ1KPb0X^`#x?L3LfX5g+>WKf>Kt8cb)yTuD ze#E5aQPn)Qfjp|@1FD$cwhZ%8!hyV-ZqVbM$#+$DvdX}XTa9-j zW$<(t2@#Ab@*FbR5oY+QDJCwQfN0c%$K-b3o$9HY86(3NkAOv$7;cO-+!(%>Dkw=$ z_!iaK+%&otH(ce2Sj&h=H{3YbaRb!?#0a4AsH!8x_=##kr5BnXjDOPpT z7q6Q5-3@T#a1Y4{xB)Q;`bI#Eplk$v!$UZReK(C8_ig|hX~Y0GYJ&hBt5x%`DlhNj zoQW@sM__$@!?livcT%8X(DAm)&PwA3JUMt**{VcxmgP;D)&Xb)+&D>GL#*pC$T z+#dGV{#I4IPx%sPOyLHWWYahHPU#z@wT8Gaa3k0>ESK%aHo}&`a)QcF)X*JN7W54Q zcOO&rPlQHMgFL=g)>fjYD*aDX_n<1Sj;DV-0(~5TV8^KWjZA*4T9@Q+RQI9k-ZxuE zE%uFbRKBN1pl^U15CtRTn*`EekJDHS9qdzFH$esLvZ()evh;idfafA4c zN=vvKf}L}JGeKkDpps^)iu6fJkg4H7N_YakJ53 zrSuK#8)v(?zG3O!PS$5Nj@hITsfa1{Koxf8OlBH$6$(il_w}W?fqkRGyx1i8qi<9m z>EJ-9IipHm+sUdwL-l8x7-7*lsz1;8REZ!h+ffwcm(=50&Rbj$=}ufca8c_lhHx`s*!)!h`(#hr&gPwayNC{ zX_Q>8^IV|eLgqSK*BmuMX*FBT7pnOJH8YcWNhbcWgoF*6vRX|G)HGj>7k|mJ`PRQ} z$$C%>LE2NYlh<@O)reK08H8@M;Sw9tvhS&M7n3q%SEKQP_qAeA6%*4n#da?Etr7v! z$-4)u*+QZmq7%ZVLMSiP2bZX3zBS-IC_lQ7b0)ql9s!$XvsA@2Lw`6|2?~J)wvBnJ znWxIDRePmMb~aiGD`$L=5{D{rh|3SG$7-1Fmu?)WDmoD=8t-o*9|S8V_EE)tD&JQX zdwuJH;Y$XBbO9P^e95=Cku?4PokX=GL+XvzK$W zGk(j$Exu&geCywqu>^RE{b0C*h`;SNE>JiJhHPO16qN6d+NNs4W7rI0N@8z_#fd`m zXcTA{BoCrsU|%|2m9(*7&r;bz;%-w(B3Pru>#9cHQ1T@uUsLj}KF*o=vUmilsc-U> zswp1&q^b^9>&>PcTYXX#T8g@Qos{ZJjfWilwwg}J1EH#e(QCG`2$mgU%%WYG<1SF; zg(elD&Kk!#c7mBt(PZEdJLg*u3|}$;p4V1MHhot00T%zsKEk5u00+){Z1d~&% z7Xv9qkHE{}`Sq498%fBYB~I*2vtt|4!8pT>+Mhf5M#zUHCH4h1#QdW1`tR!qB%W9G zi>iLvaDz0=w(C_z_G@6+@J!fHX($PqKN5()LeeV@dGv7oW#9w5mB25$7B?Iv%+D1hjUK6j4(Wf;luXOcOZIQWIt+G!b83sC>v?qlav?I^}9MaRW6WpNi z5YQ3I4{eAWs2pd0$+G#@zwP=)gd6bo5K`{W6eI0%y#m}YgEM8MB7Gx`8$d@2Jx;fV z{Ui8y@DGB>ah&ms)(3sVsfl4HHi`9(Z~N-+`}YSfYMwUesCC?ErS1v(tt$yB^Z+^{ zSr;_;QG=PBY1wgiYNCQ3rg#M1!*7z(H~uNy_~@gLUVQPzzy9^F{|tnD^2sNh{OPBk z{xc_U66dVNjT-cggH%aGC|i=S?{Gz;a!>PBSsl878&H9PC%omL5kZG38z^{AM<4bn z=o^%XHLQ5f>cbk*Xp9?uUo`QRwmmW2c;28Rfqi44uX>hzT4ylDBS^IG;4RE7j-jopG}BMk6T+?qyD}2+6xTfe83^< zq_Jkr8ae@%9d_6u>hJ04dF!pW=$|rWO6WZAyz>?|p2cQ6wb8ush5e^epXQ~KW7@z~(2v!cz!%xN%Vi^G*m{*DcYOKHw zv{Q2Hc0SV;3m!*n1U(r$f54x!G4)3)x1*yY^C?;8vuDo^J)lQPNeS&_G6|S) zNq8CF-FfGo=~=mQWq6rLBpMqVX%`h00UZk#EC~JFAHW$fU;r(*Oujh6g=`|Y=QajH)GA%`5oN)M_< z00E9DC#zSl4wr)uZ@iHz9yERWbX1h+`*Rw1KJdT;x%oF7E~3XXeo?FSFhsRKG)I+@ zi-H>e@#@?&W zr5bw&Q&D4xk;Vy@ny&LtYVq<`1) zeq&c;b*{`Bdw;(!jw_9TwBz1rfvmT{>1P8H9v|(3Z3uY6%bc7X+B0X)3~z;v3{b$< zgSSE_w;DHYTxg?mWNuZWkK0rlRskWe-i{UftL;pUxlCghsp}?D~H;4gK5h(Bv_s1J{NY=XQ>wbnNIdB^as0K@C;JV@c_0k`I8W1m1HXpHJfMc*-9ekD9IqR-NP1&kTw{}Nvb(XCEqh9#<3VNURB-8CiTcGskZfi zCoiVNKm+^6%c{72!!e9|;t}|#Mj-iu){z%I2>E3tUr}<63Dd9i7!BW1Bd&MuCy0&i^x&e+pZbuJl#V5%YIY`WWG?XFC3*JQXQKy>v=R0r>`KD6 z1w#kW!8vqdzKFIes21S_-a_}7Hf>tC5B81VRY66;Oq%)W-^{iJnNz*yP-+-QM= z24NvbJ?v9PjvN`j3s4X&7&uMXwgdwy%1LDRz{(o7Fr0tQHP-~JppE(wc~$8AW@1I= zQ)S@B&Q=f;2dja`Y)gqX+&KRq;KoTBwu^=zYWtMxHx2fK%8`j1XcsA!gFOoo=08{M z%PPNRqi0KeK|BIs1Oisr5yu>UI^=n=BL+R#ESuKxvPw=g+-N5RopST;Sh2{W&lnTPPj@7SK0{$_NjLL*(eAQyJe_J_+rh zA7z6!NJ1OKNa&;um_%5?OKyl7ga3t-*ZcHu;7cnhOCCL?D2DBy95_+A-gg!YM!8;7KE<5kaDdrft(EBU$|O?ZhavL>a35X({fippm0hR7Jyr~bU{OXgIY)JusD7U6fes=?@ytfh;pk!PYT(As#xH962Dz^6 zF4NHQ`M81Z!A}GFM#|samuVAU^DQ3%Lk0&2@WFJ9Aj~Ti5A33^TmCh+`jQ>gexv2L z27F+LlF}|Rp(8K{0xZrLW4JLkcM^=3Y*J8E&fjpQeC`4VD2VLBaLR5a#GJD|2_BPR z30b;yDKGJ}a6?W2YB(8eiIj>BHJJ@R`Wx7zf#v3eB6q%IFDs0~9x#O)^Bp?KLH0<| zOzWssNjSyqA*Bav6u3clyj!ILbeNhENzgZ33}gy2Xx#%V9f3PM&I6l^lbx1-_~!;?fu6r z8PKt0z?eG+jJa#Tm^)}>jaecxz6W;|OkJKkc1d=}o!OmtthY>f$pXiu8UAQ*ctW3h zLa-=zMrrBuO}^#}vr4zis@y%R=CJjOGSTz>PV67wj7++KT7mq;SMj%YH zl08(iyN2V=+r?M`DbZ-MyGhBeDwv@B5h~eArPDQR8n|-MGE|M~qF>5nwp~plwujq=p3? z*xR^^0R$*UOF8agFKLv)D7c65&zIBQ%h=oa-?jZr<(H{yZc3;XTXnT6uIk&AiLZ)B zK$YjK0-2*S>JdW?>eG__btE45@y!`t<0v5bM zz=jJ1#n8goR6N=x%uFg5Gh>fWmFg&CX1XvfnaL#-fgNLMDXBmXOdL>WUqrNq#U6wN z{FF%~<_Y`~9I}90a$577)P)I};dL{S(P&&~+>FG1RqSQ_8&*p?;6^5Nr2kD+=A}9$ z0AjfDW80g68zdiDyl7zFz~PP)?WLF}u0^tLuFqo1=(+;Hfy^ldLJ z$g#r9hlQWBj@#2QVBiLvqC{(e8_xFQ7EuEe6Q>*Hh>&U3MHcNv#w})VVK~Kn%7yd* zJBZ&TTw)ou=b0Cn*oL}u`av!f(#Gvb3Epoi+>g<(T=R5lG z{9cYZT3=z6*%1kg&f66~w5*(tTRh3vOVOpGCOl)^GJgqHNfY!{@q`|`k~mxWV>D)w zlBB(dVs_4}V_~!ey*T}ju@K>CYBap%k z{CB@p<+-Zg@aK#P8Gg%x*5QhWRT%UHtMGF+<~9!^4Y3@k8JWug07+v=pd91~CQvGW38S?;^9b)Sut4jh|x{X?oe(`XXW^6q*c3 z5@MoQddNvC-qCC__#JFDMZiLXEDsOW4#&0c`dgHBCe;*G%EDB_XZZ&iyG0Z+n+})7 zXGDz>G%HlB{0?<2Rvp9wxTHBDgvO=MOa<9;CIf{Q!3Yr3#nFR8!A$bkCM{Kxg0Laq z=S(qmL|;PX0Mch#mEII?beesm zngZfz8UZ%~pZSY@n=jRV8`YOPGJqQaD?pDR;iaDm_}I>cstOkyZZw|X zFNGWY==&;8F=B-+LxdhQ0*0KXp*vaJ+Q{n-F@TN~umr3K@DiboM{Zknl2aTvS~L!Q z1GT|-Nv10Bp&qXipujd7zP|v|0>lBlh8s&%?`RWjJGK{d(6GIU2iBV9hio+m3PTJB z4R8Yu1l*W(m+HU@)C>gZ2sy}S7;e};CE!N*?*x@2Q}JMvh03u3Zq!mS+|%j}I$knq zcsZW`@d)&B1VUgTxIt(rxRKH~f~FCE#UiaE0ua|auy3SrqeGo{SUHt|8@51DFjxWs zD8i;A-~dM;BH+eob**sR2)cS&*;or8|6hA&9^_?p=KH4sg{D`a>AioyUZJ~bprKhB zWR1ps*Cb9{;~FCx7gQ3B$|4Aepn!-A?jnL>)M%oa7}RJq(acO{?o{2mQ!}@6Yv$I? z)XlA%Kjx3AslK1@^Sr;e8;oJ>`Qtjn+x66`^E>Z$-qYuN-{(9lCvz=;*1qe{2*un|ahhD{I2RX^DR)4EJ zL=AAt*?`9or$N+EpksyP8N8}BNywcEh$}Zis%yL($RQ-C(VKas~ z`mL6`NqVmGK3g>4>0*Coo$p!ayEgf!)(IUL=A6kc%SNE%U4z;`w)PLL{!W|B@?y4|GG`a1rB!MzHl*{JWq`R!^No%=1q1F}FO2Xw^ ztvToxYhK~>9ceQ;t@Td1nOC1+Q=hPA#EiFD%Xh8iJK6|vW}a9gxQ}r+PQJxjmW!H~ zTOLWswX*u2y*H8B!XD585x!>bqsrz2Y;4_Vtx;~a<}KE~$;xKij6K$|Mm=x)p0(Uz zEtEk#C@KumYHQ=1czxc~sqJnno@U4F_G?!vd9((T{Ua~(9h-2XUSEw23wfm(8R$-p zJgV@v+nhLoqid{VrF9@}ULl5X+TYr=|7Jb^y+D6!J+%CNHvO{^80H8}{*6Ihe{bFY z!zNs49k3kCQl?^1%*;Ei{X3eytb*2=(pnGl7CYwwK>IZD{ z7R9&hzSlap+vEpDT~xN~P|0MiES+bLRjPD=a`$+a zZQdP*VWzd+s%~pEAkVlR&+58E-QaPPdGuPFyiP#f39WUy+d9!Sb?df08*J*mvMLvC zvaXFffgKQ0eM;u241%`-7Ko^ZaTjS}%NAJaLMvTlrR0j8Z{^2W>6fhZ1S<>rvXvbt zB8_YrR;F`@IcKuVvJr?mrjq$KPcyS*mS%nsGEYs*$)bwcO6i!)*jW;XLY!REFBeYH zT&I33r#@R37Ps0s=I1<3V9^4roM#oxXP?rP;6OQ7&9k!kBDKtu7K22|Wyg3shYI&s z_joSd@cWm~v2yB^&@4sf#NLuVsE51)#8HU*_jy@3pUn=3P|a{{=~U@G>6ms3aWY*= zTMXUq6t+oZ`9bZ79Dvv|Xe6P#t@c_LLh*tFvTbJj-?Yh^?z5)N*0e>GYFd#fBDg@u zN*P*-;whu%5haYDG~zr?oGWg-O6DcxN=}ISP`Xo}TJ}xtSW|Ne9iZgS_gdqo0#Oe_ zY=RAmpMW`Q6W9)=)+5~W8tLH!`4LXGUu#oaV3z;R%!eP$4>H$F_xPczC zY|dzKL&8H>q>`>WkNj|xGFCSNARDx_S>T+^`iiS4$8?UE`-;Q}T8VyJ3|X}{Z&#A# zgs8KGgQ(wbrSl~iY};)0Tv6{l(Rd8&J`fEO}L?S#*r#@06mzPq*9Ea6M8PBmcb7COE%=H=?2+ysLkiV#a=` zNNzF{^$1{!IO;~`typfLkmVo!NH+ho5g6tOkibjyGf^WfhjXw=;|G?9-nWU@Sk=ka zwbe8Gq}C3pCL-*h_!^?%NOhE?r@tCD{^$j7`=_&@J4jS5C|p1iG!z(712uehJ>?3E zi}hPPsc7~-X%hrZVbB#up{dmtl`s%Z%bT?u!jA(fCEs@~Qo6?)2dLB;+d$zDPaeiYEH$GG_gg^|HL!d*r z!KZaI3yL`78aBco-RQ|P0^Ucx(2cNHe+#q*z1mjo}|Htx^k+~!I>rxN53(hEAl zz>w>iP#aUXyS>(ph9ykWD>@e8yilQHzk!80xUpJc~uakelOYc_s)=)6geCvS)SOqFqf*WJz|JZROoK4s-u!DfHhyTCPYOl50YpnKatGUW* z+<&(EG9yaZ=-dc&2X&V#8607(s=wiMiFCe$#Lu9MWJ-+}M)6duJx2~E;fIUef?RYj zv)XXWrSul^HAB>+ecZ_sMYdqThI2ZE+iz5eR&jw%yij)*@rZc(B{uOQd9RPjjh?a5 z`w+LH|5xbIBC@T;vv{bx2G?F?wcixgTy53vaXh9)3Ltti@iMF8LN1A}US;*mghlwL zU@RKY0eXml*`XG#AWVU9z(FpW;KoOVK+{qAP=Sbnj=+tC-{5lqZe;tEVJ@2NGG{YD z4CikTf)2h-eAgol&T13<>npJ*z2pzAWTa_nRW#Q(@)9J}6R(XC#WFEM)ZaGB)&th|jOSMVcie$D9z*8D?je$$%Ywx*9P_rCNg6Hl`4hqO&uA~__{lOavDaDh!(F?jE<_aY#deuXg|Xgp`i9f z`CBrRM291)j+6Ei$5PQWE1gy-rzREjry>r~;+3PSl^YHfux^5O7=7rF+eV!zeB2+B zy4fpAKUZ&+#~60+xZ0I;OVd$8r9kQZrH_VCJ@?AFW>n>;*h7Hx(zC{ORF2& z+t3U5$rqRq!~{Olndo_g(9+Je%EbaqVw==oDf_xSTt`@@Av?Nj)XXQ2p7rEWeY-~X zJuUsd5FAa=bJWv!w2G{}>Q8{*CwwmJJbt!Tz`MLj!; zI$%yNEkdzdiOmB`zSF6zP?>Baii?OrfyD@!C8kDeGO$X;tK zIVd^VPg8{!$~GGt)S6UA*V(8twU@Leq-*13zlsY>=|lRsN$L-_B6PCM>--e?IXZ1f zJ!veQ#`(I0j&b=|zh~hQJf*DDDtfJIku{!c?aPX$tS;)^S~TlX>wnx3q#X0~hy_pk zOJPex2O_s-p(H6MEi$v|GV*oeQ7ZxiDW}LD24fYhyb>S<`uIuNccjn$?EQy50{YJS zC!235GYt<=O-tt9km;xN#NG0nDCH~6=QyaxO8wK!3e|TX@^ds-IgQIbv8*y0lXJF9 z#M~}!vC$27RF#b^Vd+`X(N;9-P_jZxS}7W75I>EQIwyEVCqyMZ>MhCnepQ^1o*#EO zv@G0tXnT4gkJFw1#C=rH!taU75haQ$oXW z!Q>D^u=Xq&kS9`NWWtb#H6Vaenj%5c*U;m@_^q&s7X!{k{eVA$EQD96Vi9MB==+z$ zo;BHpNBRh0DMTvR{7)_awsaY-=uacIMra~XYOuM$<(yqcIG>^iL0#fR3zE#TX+^zz zr20g@fqn$OTFTSVpCZRY1%SpxN|VEcyE@_z+elcZM#>R6LNZ=x4S1{JL4!gTB`o$E zYpwQd`ROD25L`H7DAtfGn^N3JR4r^3p#(P!+>jLq@i(yCAb^ioh#8puWg{@W5kQ%O zjgZQBW%z_YEIL8yLmf9HvG=u)g;L@|ey1C0P`XE|aAUgl;`{}k03BdUC~Do(pefQH zM~0x~M?5ebW&mtNi5*p^tZljT70MM^5MrWcT!g}tZoT-8zzwufbvG&Gns5VV12;nq zIYPY>k%;)Oc~qim0;Eh;+#d4$CKes&YOx9;aH z*cE6t-t1p4bg!Xo#SJ_Nh`8NqE)j0b*ehkL+EJ;19?l^X7$-4kWO~aO<1owSh(Da* z2J#m18y5(`8BA1Bit6K8+iK5HSPx+ZW@!=95X4C7M%j*v0z>%6-E0#tk@S@$7s$6U z17^3v2O_0x0c0aEyb+MymlJ*sY>kB*SRXMbeOeCUhC5;?{1k8z`GA73% z1$Pfz!r?bGEwA%w$vkBC-Yk!*(6ORU4H7=w?n&oo+Vq#){u7}G{04Y}W|s4jiV&lN zIqV<7hU*WrY>xQD2jj-2jvLsE5|)JgutfHFz-m#oU{L3{AH9k(QA8wzye&=I@` z$s7p*F@2wKLlO@cdT>HO2Yj-igAX5!N5*d)1{sALN5gNFTmB-Mv$DCvyd004_RZGu zfOTxM+OK%Pc^E=GXkZ9|_pq6VyKpIo%+&o~#%@IdZO4tW9`PFzea8;vJV(Uyof zP^@4SjbbJIuy7;Q96rplIpPmHzfliv6y>mlzg&2mU*ouOzqM_(wjIKa>5tkJq9Fx& zme?uxn`n#m+;2TwtsB}VnzBRG_8s^Q?7@`5v(JeNFcNgcPycKHhB*SvBJ#k_@_7Yr zOn+RHu&Y4b4_MDO>v__=P}XLr)=6Y3X@gVH?seAoA#_t-z}Q@j7{gz z6E^KJo5J08sU#-wl!uOZU^vVG$O5f6j{%!-W72Ilb+h&EvKi0VtUU@>IQK;vq~T3N zn4pR|2`O+;&{9S5f+~)6BCZ#yHkT;8z!-AeA7>SuKzW=@=qtW#6_m#hbIxR!Wg}3| zG-En>XekV|n2^lFgQjH!)FKoW)USYDB4Sbzyo(@Rv*p@Jc&>5$TqqhxpsEE{ag5?t z5xh&}fxOE553_8J_`|YilQ~?ujcJuIlhGcx(8iNmm&Cg#JCT3W(?+LRIHOX!RGu>V z=WXIDo4DGlp*00sYt?sKH6@Mfta`mwZ?Kw;R=e89Uz<(;Yy^fm0-8}Vwbt0gwS#G4 zH6i~bA!1ZkE>Kh)xrm0BD9)j{sp7?nA1D+Kt&~y0!SiApcebn?$&yA+H|4E9=ZFV} z!wi57Xh%)7F_@#zw{hh7CaLb#O7^PI@$C4BMdqe8{FLt1rOo)TP#I4K>WggpfHfn; z2s&U*$hw0LcqE^9bX0Ue)b?Yme`uIffi>bAi-rYag5mFW zz^ZUxJ<+=EE95F7bPvW|Xiu=&z|j>4SdV2#Oe%~G*pd?jgCO2G$d}I;Gw+9Cyn)rh zVV2Dif7nKp%3c(=5!_>Oy_fOrJ=U_>+O|u$(ebb%bpRTPT@B~~fga1{rJMNCM+hd$ z_pRa2t??6U#I_vV05qT>mK&`7R|jFh`)-C8CNDNW3pX+7dnv=LHY*B}n1p z^)nlPwN-%|n`JwSL{y+bU@ijg5#sM2&$6`cph%cNNApUnCcfw##os_Es(7TCo%vyq z(Q%{6N@m-*vm7_jTLH-TTkC_?w$s`lN~}W@1JMG@fhJ`z-WUHeP~uZ0&=>+8Ee;^{ z4-9k8WS3l9(HY|hw)@Ea&(i3gbR z8;3#0gx?@(0@l(IdNZNcADQS) zr?;&2Eyec1=Dc?2uxCwn;gLQ9FdL8pKq1PA?Fqa`upe+BKQRLPOt{ARjm^GE34?Ru zP?4F4>|>;7B5{~IY~m9~p0)7YB)dWFw;ebB)O}+KJpP@-ESn?#u=tJ9jmq9qb-LAG zrGQ8ZO1x3V8*EitcPND5AbHeN<#q(sPqM0uY%2T)-fC|<5$OwjaI8@2xIyeMMFVpJ zMrs~B(kFlR{=*)DmY-Qm1djW$HN9y~KeVRTMU6iYHNPyXy4nPwV`x5f+AcU(+5{QbH0NwQtp4o6L-z zTdkASjSpMrV@8hn&SyoFpH&_6Fmx*wb4P<408S_A8aEtf*&OkQWno_2B9(XD`C>LY z?z7IFZaepk^=p{qnh|6)a)uVa&uGQTpO7cc|N+}uc zl12(^-dhAU*dv$J zuUp-PR!0QgOO$<}0Vk}>tkH=IH)+DU1N)60tN(^ITx9hZa>)@742Ky2*>4J$8nf*;7n8q)Fwarn-v|se7fTH zwBXBzN(Fk~t-o9hlC6Mj1co;PiplVyr+Os*#!97Am(bpQ*%YagD1R=~P)H5THjwb6 zNx`EH4eVXA??PQzG0SGXYR#ccxmWvfg3O3e1fZ7PXt~Xn+kk4|5McQ0zy9lY-+lLY zzx&-G?Z5u@uYdKcU;WF!{L3NjfAcqg^NU~n;-CNdpATvO^v~b?<~N-A```cm(}%vu zEsDHxbdv%sx5D^ctK^SFgl^tqEnBSxRqRfyKhGVOafS_rEIDXAF(s;4WvC>TErhj< zC$uGQ5=wKDzmUvYaRT49+^>?fo-zQ#oFnt2AKseDt}<)-3uP^D{7Y-3T&2uqxWUG! ziY+kv7kpZoe#*q^=}o~FrESGRW0g!pF>53pd>;uE(8g}D-2Ikgk^>zObN*h--)A`l zs`p#trgY7I@WBVQwYBN!_xJY$6dVOBmMmEk?PJD_x#W^d0#!hm=H}+~{BzGe_wWAh z?>N7)u`wF=@82Ic|Hps)$Ii~q^vv_lI}Z%uwpCSCG;ZF!IZpdxmNo+1$SE#yo`i8Y zBa>nFUJYq}o8`A#Gy0UTSvvtzNX+DEQ{+nT@kLX!^EA>I|GTiXA#P8K8!bc@buqZ? zzB1fMr%6`%{3D=bUYLIULJ32`4gBbZ8!)}t22e(jK+HCr--wtS1OrSgzV5b~Z&~?V z>x19GLTRJ38JmO{qTo6x0T8&6L4M=%%P)_PmX?-AWz?uqK*t+zypgsCPyhn34I4LZ zjP~~S_CNq&2Z&g{e0j8AaKQxu1?$$Wixw`7Gr13E#uFDTSn$O}jOdl~8~DRkS~HTR zWkPIh_%Wr^V0yeS_>Fb~vUyBVfyN=Y!M`m1uQ_gT2i%Cjjd}1JgzE|106H?3>=UTu)m&2sR%vMu*y$BGb$nW*nj6i_t9jqf{dY_MjCtx5qg z0!h?z5N@nqy*j!JAZ*>bHR@-cc_urQsQ2{r03%Ufwrtt%-MgcH;)y5j*s&w(@Dk}c z;7{yd;3(oKNCFcQbwGn{3)~BT|CVje|1WHDdr|m}3TwVn*=e;yTrE|s5ZIw3_>Efh zCIK3M95>vJNVw5=C~jo?l$aS=IqVS-ZoqPUY%So%I_dsUtSB@noJR1vOuvGymq6oA z%Ol+IO-g>P)m-EJ24)^>3wGu}$3~}skwSe4zmf74FaWRYmjMM-O>o3F|9@jBtKawC|{2#xoe7huGQT`O%72mcT<}`n5EpJ%M>(=sG3|&@c zBk;LL01HDrQ*xhJ6GF2!HhHsW?HRI95r%jsjzlzyT+~?(OrUe%#$ z7P$xUw@IFkOKf0^x4@Y+txCV+2psM#{J{yNecrowFMLA$gmVBc-u6GF9DyMmdiv?7 z;Y{Kj_?Fvmzdh;*J>veU(BrMQ-U6T=_dgIOefk%+B0KY=TrQd?5W!UvP~`Cgg5_B2 zjw<(9-MKQMA@m}GYXxBR5RQZeQvg^%-eWm(6+s$-dLjI6igHjsQ+b!#KC!l6SldU| z`k}RdVD0Z)Tb2hQ=1x|A{t;;ZD{KF?wft9W{Yz`Q+qw|Jc?PBY_e^w$HQy=cR`Q{< zF==7DO?YiY8S8wT_ydyO8Xx#>wm)O$+CG*IXzuA@9DDn&z8-9 zu5AA9(qmpIp1Tl7rX(!CYOzEpAS{)*#XR`N#z2^QxHWzNPlTXD0Ep@p2b zq=KEKN-@c@sdQK|i8VdL=9n%UGgSctY1bh?jhx!qUp4~68-XMhY^Np|v#Xd&VO}u< zm9Ut0GRBetnOvo0EM8E8g;~^sgyNZ*tw+2TsA%_ssK}QXIc*F$rt3O zQs0gk|m2q2S+IDi5!9QxKsN|BBRa{@%LLy4p8Zn$#@ zOb+SE7v!fSMuY5cvCgf&SazX=;E*&4gBeEqE=LI>2VPSE4RGUIYUKRHkN$mSjusJ) zi4y1_=Eg6T^+2HEF`5J{2(>=6x(!3eEvsiE@Hs}H>3{_6O$1~BK=6nCu{Git8vyc# zkRQca5MQ0l)$@a>})?$@->0Ud|#4pOZOc3-K+ zgjLTfX5okZM!ZPuO=yQ_7-}#EjL<@Rx*q{&Kn%nt@F$^6i95t5=nH}mpVbWo7aiSV zB{Qw^M615U>M^82CxW<%M0jguqboKe_0 z1;nHXIYFDaCufGt6H8PYfgRd86LAM;0#N@k^sr;vZ0s~EUt|-`vKrh2;Wx1J_Yg_& zb(r`6VcfXVal_+pB)D-1bQCazD5Js+;y}KmcwY^Pt;^8qkkzvh_&1FJ+g^bN%mx(D z@uLD_1a7=Ch#Tlrq-jlY!__N;xZ&HACagm}0$9T}!i`1X#wO=a?mh%J_z40G5pFaOTcZ-$Iwelr60NTX!<@iH(ZzZm}-5ph6IZ}S(5Uyh=zX4*Tl)^DFaqpQv`>L?r80!&NSKX7_ze&vDEJNP0Ug0_fE)O)!EXd^ zEMuQCdhsjrTlow(Qk)#0Ru ze-O#wt4h|-XM&UP*Z+u<*6e4u2wh*_9-&u0x_VdIEKhlbjVgkdlr7Kx7y`a z-alsP_pL?78|-EN$dbtpF#?ilAP%iXU4j8QUhr&ETrS$UGQo|S%k2;%SW4Yx3UPpZ zqZ|3g8!`$FBAi$ATh{y|FNqn*&$r!!o;ZQ;TI<_Z^W-0&8rjio1U_>Fa<579iQXjt zk~O|&jjvkcE7th3HSQPXUbOsP#U-A2mUSWDxZU07F+{w}?ZMnCtYxKJhq_h;#fk{q zu(gI8MftqqC9hcP2Km&tWbwWZgN`n~xWekMc8Mq+7CZI)!)MNl>_9dGe|!WM z3|LB$Yj|!U=`cT|-nap|=*YSIZO-#HYj@CyzP%%6?JertLpfshKFH?m(Fsuh9-I4| zb!`VXj#~P<&3VCQzXTP{-Cs0kU(xKnMVvfqU(p=3*qoPbF7$ny_p;65=ZhGMjOc%< zh{~LO*0;}kU$VXzY$mkVdSA3&TK3tDmu%*KoB6WMdP&r`$Er@V{PiR7=;t(O2G>4s zeb0%e?=I?np{RF{^*(RCyRDa&Cv7?zY#*0x_Qa*u`;1K?5i{wSA4qaEV`e#JlTEqL zSeVM%yw#KB?vyfm>Jv8gejD38W+Bhoqet^N`U%b2=lzV{?kVbHeCRFD-DA_C7rcC4 z>32(}@{TtBX;ClqRMI6<>&|#WKW9E=eb0zyJuB+lJ!B7*{k`C6L;Axxnw59nH*y3GKYB< z?HrvgqCS=m%)vrTH-t3Fry5Te@s#N*scQ*PvLbvfM9H(TKvN@!Fj4FF3T3wpDd})A z_oUmjxRD!nZk&T*+tFOeVl0r%EHP=rfQ_hy_VZ2HZr%z@-Gf=%4An%BVhTL zMNQaxy=)EZtbL=>2qwX!ZqY8A2)6|OA|#!HO5wrnfnwxq&(E7};)Pb;XVbP@KGHcPR#U}noBhlXKYFw(n1LY+ z#nWv=LWo8OiEtd7^%?Dd!I~emmIn&7S-x^y7%_k3jL)ssSsrT;%*+tRuC&sAYg?^& z=>SU-2Be@Gj;;py;P0<^hK^B`fBCdEMY9g-0 z(o9i?UfahOXvLQh_Gb3;8g>bv30V;T3r<51dyciOw1%q>cAR%49}M|bzzy};ft{g7 z;(_64fCk}KaEVp<(eS2Bc2zb44jN1$aN{o&(+}K`?>5slf^5Xpy(?(n@L-Mg{NAh(ZI`jTi*bz;iZFfI-m1qx}dje8q)cCRtWk zFRW2;LY~#OdSvJ0g$}UXysLCZT>>tg!;#Cs`;tdVz-02{5zvw0Mz;QkJpxQ2&7zMTH?|aT!+|1!4%TL2RVv&@X=T!VMyz$k)68| z+)&33?weSGKn!*b>~YvVtx&qvsuOGqtP~L{;NIE(q-@4e&`5E^qiF$&`0h=6R=7c& zRb^e|&b&VF4sOt~z>PoyN}vP7m3!E@AraM%556K{mg1bD=TnCQG16DK-RjuE1#U3x zJc|JbJ$%@Cwa>(j!nP&i6$=0X4JYD@f*Z)Uhw>ZAKRx3&hP`Z#_zQz~4cx#$OZyb2 zYs}e7to(=yC?+ z3E)X^7b#00m0&g;G~haTHQ~l{lAqL(-WH;(vq|L+|DMF@o1_*l-8EZ#0~BPKI&5tTrXSfrE=7b?A8bG70G&V1gQ zSR=7RVFj^3fQ#6nQ(>6|?*YGoX$R18k5nOZpB7@UF=2<&Oofsi3Nj7O=h0Y+PzDvA zOIEV>RU^9}EASfyOp_e7u=M~jU<~9D=Aa?mIMu37vYxFTAS=X-@i}&Zq`u26x5z9| z$S*)6`Utcts8EqEDmJ(aMubs3bm%?>SfJ0|b4CDbmhxFrGQ`Gm($1Auf0uY6;uxUY%_AIkNwPR7$3tGH_H64QW9Bu6uvbKQKyc$a zYoroVjv=@a<4|>}4K>0ayJ>RfSP zMFBAo)&)u=pyA(lEuU335!~oY_zf)|2=rj#0~ahVs1U@M7PYStD?%Va)RA+a@|a-j z6&4J}L%87_i*O@g2dON50HY{bN61CNjg>{+yX0Li5oL5Nm<`~9FKn!FI0^_PaWJVo zRe7XSQG2ZxeMtp3Nis0dvqDe(!&cC^jI!mb)u2Xc;4}c9ZIaCfwl1+-DtkS?Aq0d7WYgc2cgFs;Fzdb#1gR{)3o=-EZC4qHL2%7+S5e zX(N^lSkHjX9I!s883P9KGyBU%V0a@iYrvqGPPmrLAiL{>HuX`d0->poSP%5DO?}9w z?ntQTA?w+xMkI~Rs|jB@qW}9!V>*48O?ymfWOX@5xn#Rd-R9+X>1wAvXwx3DX*;d= zA(<%jKU>uMxb^L_nNP^fX@*nplQ#7!>v_^?SD`1|?Xe;ZRx1|Rr0*Stp%+GFJblU| zHf4t_vM|}|+M&kDTZIo$H*4p9QqvZ7K43NH*@Q0_O?$#RH#yy_Ro1ytq~+!`X|;8( zwa)cYymsDK#M0|nMGT^ma1IPe_d`m98&5jY>k6PCwx=A;=baz_EF6(&AIv%#k_uHh+HffV}Y_yIIBJ$Ue=MjrF z7(YFi_jBd!d9f5gjU?Q>+#0U5#;Ytx zf=J9c&Xs9O%Tw0!xaFR;{PUK7&VN2(El&(@%4An%BVf%>TFaA`f6`i?vI!>|5pkQZ zwx(;HuCd&8mZ!Yda)j6Rl0>DVYpwZO%U`ENu^3jh++-~`TK;BH?k3BB+w#kFNz>Iz z1(GMkF8Ac;w=75d_11ioY_xLUwDuL&@?C5DuC?B3E!2s`d$YAHx4hq^`8sP}<{cJ4WwKB6lvWN>Eat! zPs!@L#2UY$)z^sM$JcFavyL`hZaJ1A&tfPbD)fi9U<7Wm=H=?Jh(UmE5hONTX1N>m znl1i1RPr}iiw|1Mx2+kvM&sOar4dTB`BKYYYE75wEgG*-+`syZ^+df0kN$?*=|j_H zN^wPsSjx&itEA*-zxIh3j3N1{I^W7qv+*a|_>*ir`8vfQ7Q)NWKK^*^8Y)k*@^ft58B%sY z6VCJ;vfc*_HS7Mw#&He_YL^%(XvZC+EbS0(=9LSbyj?$OUufeN%Iu+XzKuWD^V=>} z6pOf)%PYvWO2$w24Tyz;=Z^=SIon&0;bfgf1&hh8Dw(gABB zR3Hi3-jh~Eik0^i@2md7&plhR3$hW25nu|1B_RPB+Q_WIjQXXuz9VXTM|Hx=RbOp2 zr&-Sq?ZiWQA(=z$@dIU@hx zY-RnH|E5hsdT^UZVn+~hhu8VZ$&kxSRj#lG&ngC5WR){HFhZ2GvsbWLof%O`~4P?a_r6BXckb}gRE)YgC zj3l#sntXQjf+7FpDTq*z$+$!p>8Mg0&<6}k=`G|(mEjSgC`2Wdi+?LcZM(HSXzdSKJD~BXwLNCd zkAoXU^Y&XSdWV8sRJajqpAr}e5oKYmN!f9$l^&x#3%HSJHt8f3dQ29buREQI>@(ks#{0U9V% zz>Og4DWOK8x6^FaTQadDwnhUtOuH94piufyhTew`gCuB>12p~!ZhUBYAi|l7!7>F6 zSPsb1gRJ!RS=^psE}HDJ!HF~oAnz(Z!ythhH`&B9BSzI<^Tn+IBc@JYubf;6^+y)FrX@hn8>-zRE(~ z5V(P8G3g$L7AeA{tC9uiAMtP);c}c%sumv(Hvp(G+u+54M7XKI4T7@5(l7$??o=Ru z%>*SPKO=e~&?9gIJh^8OH-I%_YoJLHr;#E?U`1$$9lkgUY{Gv0VcfVb;Wwrac;w*% zQ*jWF;fRqxVELaKWsCo;&*GV905IGUAk?J3L7%1+I>3$PHt|gPcW8mIJ#lt|<*7PG z8tJN`?u<9tn)ue&BF78d2!4YF6~>~$Z)|av|$i2Vr5=U|{nc=-4(-()58t?5doYfrW( zu|o;;2!11wCpIaCvgS{0!Xgx}GN6)+D~|}=h-VdWBjGpb5ATknAGpyq_DkS~%Ugse z0VzOe@EhO*Yz83{oy2cAVrUTHH_*8t-*{bu7s3EyvmrYZUL=4kg)fkVemb+E4&V=a zO@WYzhI1|+zSm1d0&Wl|ki-Wx&xV8sM(1Yf}l3YG)72x3{WeDEK~mgzRDzLYo{ zV;Agp++aZ=Gj!nwJ}F2x63_rHK#zcq?beJ}-J@1KrKo?Oq=>p3%b!&rJUlBaDE%UP zMSkeZtz@3GmZV*ld3NkjQqV~EDGok^B92?MPnodTI=4txiEe`X2seDeYU99~!mL;ObIM#_pfZpiYDU5Vp{_zlP%+_3(V5Otj#@X^)ICI%z8fvr~`yleFH{Cx9DY7r)W?z5t;adOL{<*g{mm0;$oD)?*(0Bdgx{ z@0&W=)kp9M491*1V6A_4F#Wl8{DrlDV%4`>4gALAn)cF+ta5_cxKkof(Ml(8VUq%G zV5V3j+$cWgIr*a{3_SZ3zP~OJ6@%fDjfCZ3!-7lfgO=MV+?cyp7U@6`$`J+hVEM4K z@HLW@v2eU(vDdQ_=UM&|Yvs_ZlM z!VWF|jA6-+D|hNgh7M}>^D44hO`K)r(!Gw^2Y02|WySF4n`j=!x9xpq1t}5q3ebGgVZ7e=pnSCrf zIwjmAIn*^T&ZiI}RQ(a>R)H?w#_){5dbb@XY45uetBt78wzokroBJi>fpZzuND>4fWUx zb?9{!kfZtxu_&PVPp@p1azQ=4PIc^J{f0$b1&AvCZdmEAR(q(zUtX!v_UACaO2;y= z51v<#ue4r^>1@BD>LmyDs-v3pu<4wwg8{?T^P5%Ho2u|bWgj+)mRxpeGM zXhl|dd3s2BW>j%n*ul79cl(X2UwvWWtY`htYRyQ|78CR;nElDyUoq6dT;qm1myvF4O|bRCt|= zXwc%$XlZY&LqAc4zgCBTFD+-JH5y5;f!WG>*#kGH@XHN>(}&E&l6vFKlydPrZ2kJUmDQxO}FEp_c{4p_Y+?X zJb9^i)0h1lzvx!~?ZC!wIyQYSb7jm4Rq!k2lxk(PGkvf3S4aPPWy+?;+Hcj14$8k& z1(aJp0ys>0gb!zUWsj9hcR#3tE1CxzFW&HP<(er&@#sH{U+p`Ua9%Cmu5#a1fw^kn zXv-4xzkQ2T-pA@?d)2Lv^&4g{Ru%tH)qm0);?$CzTE+(ofU@xktX|?ZkAJ5!-&Rkr zw_c0sY~LX=k--H$yU}#c)&VTsozpen0+s!)ayd9|;^ZLDJtr&kFEo_A)levW1#qGB z7!A$b!iC1e7aNK%))&12%c1ij4JD^4at?%gO`Cd;>e5pUze9WADQ*0HJq8XLJnGgv z@0{@9jA_q4HGjp@XEv;U&Bwzr#(!@@uv>(;eT0ugwBO#;aQEa8*Mkx6SutK&v0j+}X44zU$7Bqeu6(8+OaETV*!qGdU$|i6_F8kdBke( zwRO^BwCe*HkU#bZmH)AdIj)@3)G{Zv;1xCPF~Q-n_o?CI)u558OApnxyXs(2-Fhm3 zm&tDCLiOw>wJ|{X=BvbWs`R(=(d$1~jo-;MVZQ4VD`y~ooo(Pu^KK*}^VZTN^FM#+ z&ZR19V;L6?&-}Y|&w&%%z_Bio*|Aw!7G=A5=@vH8Q|LoTQo_x{c z#6SBqTMdGAx-bZ_`hxo4?j`uua{mT6_Q8#=1Bp6bXSuiysFKK`v*;ih@!s+f~j z9vOc>UtilDYvt023Cc0GxhP(t;oq8PzIt+1Grv*&t%^ORmb1TTn zQZL#I_r)tC7`A8Y*pW-3%(c_Z(-$H&bc+yEKOK|>@I#FK_10g5`gbiTIu&4xnZ zI%<$&icePL9|-k+VEVnP_dxZ)(+1arYF89|pm6D18iI_uA6u)-7AS?1iT`EL*&3#gg^Q7QedWnMF@M`r!Td-ErIK zK?4W$?%6}cuJO~=yqDA(Z_PVPi$9_AKQ$62fPamhY3ljb4+{Mn$(c*AoyEV^4!x&h zPiX!n8W^%AR4v=9UfQM>Z&XXRsMnm;s(otne&w31B95xW*R|Y_Rpnn)%{NAtzz7#i zqgP4%(YNvIUdO-bb^NQo z4PW=Fztpe(n_f+y_dNM+&&GfDZTPC!i7&ffh7K;N;@=60Reh;I*}u^@ixVlwCBj;rNvTHa5T zS5}*H3XfF$QL~R$L+`Ls1*b+%P^oXLx^GqDS%h3|KOD~W@f-iqvVJ1`PuCvSE7H&Q z=&xSbuEm{Fq17@KTGhH(Jl~Nsk=cKbbQ z@@#F+3)<41YD1v%%2&x3)UiLS6U-O?5%M&rJJaaB?gR5-FU)|kin{OAvA?Sln2=9Z z_J=C_1KD#vQU#xCsI5x>psN0=n!dgaI4zrGULdW4;w;XXnrh>c=^m>G?R@ZNbrkKl zjT<(uTC|^{+Q5xY!4-WP0g7*WH+|jnxJV^EkN;D28cm<~IQea_#_#(Wp#!diOFfPo zdzI3F4&=esn?X}AI~XUL%To+D*M6(5_EcNLtmDSOk!r1<3a@Wnm_q7VvQw3Qb{YTw zE#n*pxLLh+NhO|9%UragPnA!emB;l{zQwBQ@0xe6VuV}Wpd)7g)0b4?M{547%Jq<{ z{^r`44SJhz(e!UE?-TXPUe%+o)q0vwA}&3%UQ0O3^ryy7RUJFqx>>)b8Pu35QnK5j zL3dC0aN5;$BpY@DhyWQ(a?1JI!V7iz=c`eN7Ku{SsNXnWSAzEAVpGZK>VlXMpUb$h zMiqRZ8o~d+tE$gLj!QiUj%n_hqMmxiQ9ZU?O@B168z-lg@)>p0WS>e^E>O=<%-Itp%p65sS`{JLk;cYT|_ z?0)jgUMIfqRsU_Drq8>d{B}^&rJfC6_iy^PbK^IIkT|GL8g@yhORL-BZCcL9Ev9Vr z8_QhOmN1oY&dL+L2B}qELPR}#TV92Gd2G1`^RIU)gMr&nW5S?vjEW|zL9O&urN2~O zhb*5p{q0w*s=v}=PpHXrOy^o0bnB~7nDc7KeyPDMp+YbE?X``0I)pJvlbG+AyZa1o zQNG0=U3)@t>cANRN=2w z);lWUjEbn#ymBdUfW%?R@a2-1g(Z(KXe=&E}tg<7;}{)Gck}Mwj4YeH+nZeADyzSEA6U zztq3+%WfyW=wJV>4jp|?e$)N<*Zod@+qvmWyN0hs7?gqWoeHnDKEfAnP?I$zg2AvRAI-gJkqt7TJ5HC-d1-^wQ_ape6gYmN-)U(EeeDecB-P^NHdU?&@OKD z7X#nUNGs2B3I&39j;j7b0cEqES4`Zkw@zKf=vMwGRrL?i-k?7@1WmORRjAI?nN|<@ zF7V7WY>lrPFrsxOSI(g4ocoe!W^#U^?ti?!xY4nb8Zk~ixUk2lI~=#Ktv{UFEQ3_% zVnY$TNqymk+Pv3m1R}(|f>p)HZ(OX+6WnMlI)$w?%y0Gs(*!p#C}g~&uny?&BEy63 z1wGg=i5|NKR^*H39qSlCEjtIsou04$O6<;ch>++N|7lbafEdPaWVL^Oh?7_SC^u56 z1?kx+%A7)N+@@b@tIb!fPOs(q5y1GZrIxFWWb;>O8#lU!RrEgoUGMs@2cGz*Tf?OR zbsSvkSpV&y6PE@xeBHb05|?_^e=`7<(FPQ{g#z=#mR5fqxM7vT7r895FxhLhC(@REEdV7 z0V^$570wswSI^btrAGTLnERl@5Dab{eESM+m=6`xF|Thi$mxG`8etH!>-Tfs0%=X< z{NKSf^?*g6U(>U;Z{~xVzL(WI1ywFjCHf6*;l|YY2K(5~?x~&JGdg>ucXCVZwC_+C zkF<_%shsTMmeSE9LoT_dbn@W*LC#+tH|8%B#~5B;*kJa5s*Ly5BQJ@@3>94K4Pj*I zZk6{7E8ZR4jQGFSx7inq_p122I1$C2l{v2X4{E_{%BxUieW;#W+qz&mBm73T%$u|X z>t|c0*xT<_Ayoo~xu2*z?^l>^nR9J-a_gRlaPV-$N-}z)^~UID!7gBm>eAnn_V*s3 zI&?HP)Ye1I*EF^c>sGy7o)a%_S~a=ns7hycu8;U>`5aWW;qymPV zttm*0_IvD+S%Mo_J<{H~3U0K1LEC362D}Wy%cx>;$#yyat*-w6+j3Jk-pDJoi5m-W zx9IZps#_MWM#tXsnN=MZuIcs6D(&et%D8t~xSC7d7q04LJa6D6?P=yW>Z}iREa`qF z3JqcDr>gjus_YlaIYkusneVD~{#G6VHx_Ld$r_hJYn%4;S}py3qj2=S@X5>E#Ay)o zN9G4=?ot(1XE^kZHg~bLM~&yO!=lA){NCUYE0%q$TmNB(EkUa8FQSu2D~MeHWvqzm zE#cSnE%XD^7lB5&89Al$&kAV0<36jEG@rzPg1#}ZOv`vrduE-e8Apy+xAe00NXu)h zUVgS9d4JR4q^9D8#-h06r70&$lTS4Na*%wYB>D8wj58Hk>?h08bpUvEY#e3<0+J1MdkNgilNpG}&9?=KJRXgyiH~g3Pr#`Ux<&BsY z8RWX(*VF}S)s`*Sk@?uL0F#ZKcv1FGj`=g3Iscz}k`UaY$;ZRDwv>yOmzE62% ziExc`CQfLXZ>o&f)uh?2A8bAobzJ=YkmWaBCU+QS0RS9Z(F)}VNO!; z@e+ZEro#zMWob=^6ZsPuG41$%Hmi*msa($3dv?VDn3zhm5*RzisP9ag)G!ig^zC0z=7K zf90F0ihimNoK*vdTcHea;}s`y(!jH~wYNDBbkK0{P)Gi1ML8{{mPg6 zrz-iqcA!Dy!6Tn33c2@D>y2qXtBD2}jc&@DB6Cp}KIf0VEP+4m+n#%BK}LMY@$z({ zNKTfg$!}Tesq%yRFN_DrO{Hl@J|yMT5jlV6*dgx3?Q`K{a|Jh0u(ZaFD{o{(5A#uN zk~l2x`EUN`57BI>=?3Ystxhv`qE82BgEB?3H@4Y{NWmJ-naU()w=YP*Zpc%%QSU@ zc^j((Je?Qn}gQoT9)^+f}0b|D8HvX== zCQiJ2^qAY5aijK<1OZvy`VA59sSUnrd$`#BJ#v*pqME%_;sva3*cV>erE2~xrajD{ zRySfuJu}2k+Vl;ER}ISx2=nkFEwVw&{X~0puhoa-B+-uPZwP_Fb<<{qGTb$-Zuppi zY{(AvU#b1Y>cMB_1<{V0y430ca^KC_o+ix4aan(ylvSQJN8lfYTUv}Wa2ozcRpO;SxPr{vC%}Ut6 zSzjlgTV*=mnTjmF*TRokQ5>LdSyPg?D$8~gZ zu;@vvxN%ud0XKRY)QI83CQZD1#*F*#pLXBaJ8mC5XwWTPx^%jwd!L@&h7B7sdGe%( z9-cM#;Rh#9nbOR?5Dk>j&<0^>SEkL59hJ4<^%f68EVxe`Ho9a)HK{R^TU<5$0a0gt z49j8IlOSPAlV_{lQL5q(LVeTPmJ4P)tuoK6BfnQE7gf!lBsy%wSW}Uf2Z#^eg~FR1 zdS5gTgfd!M8TMx)I}Z$31FKqL%c! z_?rzGqX_i7+Sap2k6AOO#fSSMjKEHq@Zr=EB$pPT!I^WF*=H-V&R6H0t;ozx4t?o` zXR+i0r&@T8z^TRfu^2f&{-3nxzgv@j{7$f4BjkMJT|^;zEvBMOXH2zQ#f>wU)Gm=z zIxKhZ+gXhoIr9E#Qy0veGxy^6AHC zJ}^^)i{s9y@;|ERws7NWM3`4yL|m%+Lh~um9)3=I>CtwYdeY*6 zJ}>=)wl`i3B5YIUNEPYtsOL7dY4FwC*?%}r<67c*MJ&u6lSLPl{ZpyyzWG;SRGME? zv$Gq#d+XYg^a$h;G#J2z{tJd6vv5)e6O1X~hJJkdSY}aL*qT?KGvInUWsV`VvY8FD zc&VH6C-awSK;D!BuUZJzwI2Cbed>R}4JM=B^}UOYPJ=~fr(<<32NiM9*es`XTJGMz zi@NRhF%Qg`{`i7<^B;M5^3a&ZI%MN^HDRU-Eft^hqEFRIHxal<#Q<;CnhNMf1Rt0Ud@yvK34y)Q z)hhihNg7~V$;s74+r-BVVd1Ws+Uk80Y+mxIdSROwN!zh??9}nGd9x#Y_mFP~wI}uz z)S(=(8x68@pdaf8Fdm37hg0{jU$&@op8*nJLjD=?^K9uBdwpZColjlU2*0MA+u4Bs zyX~5KKv3ecF@^EZF=sBzDS{ha)u>UUW=y~DvH6e8oAc1*dnOODv+LEfyVkMOExr1T z7%_at^r=ri_1Ke7J^sk-S>hA*)M|}PIAlLy1m5@tOTS`Y^PG+Xlkim{WZT*%%usL| zS#OEWG^Sp-2-}_ySZazsQbEOHNqKyQxR?@ffvc5`4M*{!-wB8jvPv8Wk>-T|ANjK- zbkL3XcsQk~p|>{|M(CMezRLPQt@CX&s9(R8h?pnWilHa@Ej4MDyah~7-FF?_(j;?* zRcH8C4IVaZC<&}8vSR=W9XE`Kk#byYvPp8XIKgO~jprB@$I0^a!sMX!uRY(fS6{$N z`dRl6zoxPDKj~&+QLaUC%GBI?`nbLhu|P#>$z{Re`U?CZp1K-t^y?yW3Ynm0&wAj2 znKLF%7CEJd@EaX^ckhNVW&DKk4?b}J?1vtheBXWA{v&F^a+UhJNbWg#->;E#hAH0g;!ZW|85lNcJg5i}!AF1!C2cD7)X8FGc&$YzUBIC4AJKD)$AW=qMLg0zARMehu6o@L#dwCiM zV8w~jBrxPuIZQ}0X4%4&;0?KwtZkzB@ns_0?Xhw72f~LR z=p)+1jgHIRdv{jDM+_f7aniKu(6@Ah%y1`W2;y7%eWsdLvJy~z1CZ20hTcaFbv z(!|@x-XT^7n12%V!Ey|_%@zT+^V+!SGKNhIGmac&AZ%M@(auukwhkQ>k(;%DQ%(uu zUUlz0N$818yirAy(X+$M3wokh%}V_GvNb|{7#-FEROU??btA^_&aZIEX#QJbj8~z z%HBR+`eswf+s8{TN~*xaMA?&r6rXbvf3cIA<4AVw_Vvs94jLl9^qx6_8>VizG1_nL zr5|XJ-*_W$ykR$9!u7qy&EkSAYS(dt(ar(Cj86_O%PDGwd*^-w`i{PR*qxK^xOdvE zlc(B^yQ|;mJ5;~H#(hXvyOF&|+}7{bJNn-?uK#UgRb-<~vI(bD+Ux4pN$nWjHgCav zK*TG-p{94oTN}qwl8)Z^Hh8oOC{)M(sy2s-qdSRZR=KMBKdGQ2S1ns3@IULPVoV{V zF8>!=;m3j@3=G;Sxne$7m}c&o+k(Km^;RogRKZVGP^m(mva#W2h6)tFdh#5?VBq>i z8dY*uAUF`Fie08xo0?wpQgO4HH)mFI%>I*A1!roC&()Qjtv&pDW7&nq(zj0@ee=Z8 z_s&**aK84PQxzYatN!3@<;jX%XNPSAhYS~kl*hsU0^HC$&|-Z4pnp;$dwZ%djllNY z-1;6Ui@o*vAL7i9P?3ytqf!*O(6yudn&Sp84dbSEp7L2v$eTrgn9yk1l1bia4D zu(IQ(imUmZGnk%knevcf(nH-RKOi(d_eB+dS{=L~mu_%FqxkS*nQcwoOP`RI=Vd3= z*`_J8J@qo_ljjG$C=AAqD7F8ndV00!Eyx> zxeD?Co)%0|=T4IB>+q*4x>1q*)|N$86IXMbRbbn27A0H-G=|Zle6rwDFF`Bq*gALY zFl>n3(nU}E?{f?d@Q4i$h>r|RjtxGP7?qbEU!0k6BtPX?@u8zd8C8d~s!MYYXD994 zvUY&oP&H;k5(QwLS$z zFzl&FM-LrF%yDC#KT&Mj^P9Rpzp>-uE!`GvGCaRYdv2pl(C9x2WaD??I>kC-0=z@-^l26o&yOm3d zD*v^%+Ux3N_vkHv6H=*&j<5S#o%@g2WD9>GDGf2=AeC4sF!8*2^i@^#v4%Akp24=P zar+bvtq#s78~m|uXlR>pd(+h>(I?d0C0B2r@eXuQ!-fx?cF#ndNFSX$=kfV-pL=@2 zqGz61wCLH_URu23wWX_2eAqN+0>xF&fRk zTKkV>3||*O(a!68u&uV;lvlnfg|yYen|NM&uib!i72KGwcE*U`(cUDBT{$GEJqg<0 zL=NN^jh`GOi7&+L7bGNvfU+4+wR3n|zl|Ar{B)eOEq$waI~=;6+i;^S#|lqoe=WLN zgAB>9Rr|T-ldoRdrIA{b#DHDek)W^dU{OS@^H!eOD(tAF0w7Hg`OgQAu+C1X|ZNuyaccA3kCdxN8={IgJF`5tc zn@j0GTszI%{6F}({T*l(H~5;meuD@s(rMzyg>Ju{JDwY}p3@@h@u$*eFKXY=ZsRUI zzHqH1y3#|#BC{_~5oJuQ5NY{^GbFV3 zOHr9VuuzfXt34ZR&&UaqNq=)URdETlp9KcZtu(;*HL->d2`HKJx#xr?=wl%yTo8@euTobV6WK`dkwi?*@0 z8o5U@-W9C{F*P?Z_R8ZZ9np{d2d{I3v~**?v?`=f*aQ2VH=G8qB?HiI!^4TX)pe{9HTsB z^qNi<{7SM7gD|*)!;ObjtDK*UV*?um#SZhp{D_`Y--W(xhFbKoGq7ME)=V<~S$#ol z8RVpz^pH7yT*L80i)4A7{9mZ0_M$@|Pd@!XnYf+9endBkBPi;Ko4X->upCw12ZpV| zSIIKkovh{?#D62|gy=;v^~#E7M(<79iH;OaDZBxem-cL6)Kja)4uzP*J4)b*amPT@ zyko4nyf^$^Ow9bY)$>+A$N%P&=Cu63p�T8=6P9A>~ai`Jy5IoFVRXhom<;#2bI( z&U8pTZ%90=C7tbX;1qk0#Mcc-G$ix`H%MmAvJ`t*;B1$yLWmL-RUsw@xNFw&xqFsk z<|QWv3!t#_W0zZGzGml`H3Be0Ab^mIn4k>XQy!|qJqJ`zo9>-f$%-n-Eq3&`D(#G# zy+q-wMxtH5I3#)WZw6V5CsgJCTsbCQ#j(?B;Wke8vr`1R7Jee@hz+^yM{4zcdIO0G ztqT?(vgkas-niVuWo;o7EB(C8S+Ph&do~ao(<;%&fR9x)(D`9~{^HjJP&TOG{|jQ_ zgW7n7n|tZT>uOI4=J#ATzhQcD^U_QwZ6EN6E}rOe3TW(jIR5#I+AFM1b{eKu>2wu+0nnt0-E_h zBT=wM{5V=ZloDaJ%D;>?HDpc3J;^ed$&x{Kpv7CoF_KiGSbjaSg{aA)eU(?Bir=W0 z_Oyp|THHg1G32kBf2gQRHSKYgbV_m#>vhp=EG!Q^qY|1VyoHc_7^IuE_3WdO#q`Lp z1*s5}Y;UY<99pHK8YO-o_fZ%jm;}BK?c_@#wjjizm2Kz7Voy)4m4FvrziPW<^{dp< zp4)8Pa~;F7b!pdK2oK))E3|Hp)iXDxE?Rrlmu>$W-K^3qn#7!95>l8ubhzM`W6n4G zea-K_Gh)>4;IZ3$Chzc?vfXpawte^P^0{l9=RG@pCT;hexZP{wR*wl=JZ|6UHf+87 zpmpxbyGV_lrZ(;uh+t>BWaKAakf}4}Z80peEC?b@!LKzk_5dtQ^pHTwAGFMmB>5gZ z206*$!}Tf8>Njd}5_j&mu1%o8i<|s`X>Y3X&(!v4wcu4n)wP$UA$ps*lI3n{TckSt zbG0vBk(BsmZ3ctbtjm9=Mb=5Azinj*LLv$WgbT2g1rbQ1jwqoI8$J`qc|TL@eI#8* zdp7j9upB@%q9umx7JYbCe7;`8sI>Ej!AHjb4HweR_x<52(o43W!FIOl$G^?IAM_z- zYJ&xCa22@Vw=%&rP_o6}+b%IId$9wb}V zJ3*h_>c3T?M~!Q&v`;zAI*f=sMB&waC9u<0e_D(aZlk(u`XX(WeBI~Gn?y^lgZkR} zlzBzh+FBdWnmSOQpl#fFO_f@_)XgfEa`d9C7HEMRv_ThATHwZl@mm8IZ`!nS>*n3| z+ngMCIy&y;SKl4?Zg<$ddFRf}8+L4YY1PU{UtV_ii)$oJ=$$h)ygm-Sqf)Tmo|2vT z@%`n>HxEAq4Xhw=W9>f4NQ`liG*%?$ayfWKm0{5vSMN#OIld5$3M6^et@j`Uwv7Bw zL=M^(D*6_}PEE{)UKb63|G{9fDMTIpOvTixd*)d8$GB>Bt#80wn|MMcosspM&=uR* zM&7Ay3Dwa3VlSRDTicf{Y?!Gx7>YQwqlIR1WQ?}$+*q55=$^cv3Iy??O{JM1@cQO| z{&zcWfAh?Tefyuf?)Pm^6*}Uz=gzj8p}!R6a3FAG#w*@PvF6p8$}t_7u2QKvcfp@B z(O*_^T#-{2Jg_}r_wFrz?)DMBd!ziF4g@+!`#Z%1x^Nuj=NRhk;N!O2$zj{(^{W@I zUO#F17IozBcrs`>S|5jd!Tb6S-BNOOO7 zMPFy$6{ZGX<$%@Mz7l~2T?fm5w6zf-^6W+}{*Y!wVj_ZQ`=uAM(S7gT*YsV>^{d zcx;P2rY550z**pKx7)Ei7A`7`@N_2RBJJyb0!=+s3G z8ll$u2vCEK`ZsF*`ld7HFr}X~Z-D8xn|`3b+D$LE_3N~*;>UTxwp#Mz)ZY57EzY#n zJkA3SG?HH%xN-0NB~Bq}k)HVp{$*+VkE915P76Jf9wG-RK}AV{hhn^=gWMdQcE7rP z`~BNJM(m9e_kg4Su;Q#`(XgBKr^O56pHTZ}$;C%tJAP9z3}oYZezRe@i-ClJXkx4X zAUa7*!>AWnQ5qJA2DjB1mUD%~K%1k#5_b+P$e2fOaD!}FHRjl_)xIpnlG(O5&mrMX+mEO|Iqvk#L3gPK!&*L;Z@RG?^gUe*OQQmmmsy^;+Nk z`kuPhT7IcsAF;%%Sm6>4` z*-^#GL2wKF?UBe@{IkYw-X z4qZH&U~ka-eXA<}uJS%qxgV;cU#O{S_#sFOn+QCr^^EC4XvC}%|8ttMMUbt zb(&)W8NIaqrACRsrn{PL9N=o#?xJ9+_^Y77usg1DJC0{?Zu^gkN9(g2RS~8TZ9th*A#ie(G0X_Og8+>KzuzB zzD&^NpD4cqTT8!P1qFkS8c|VT40%qte1ch)?UnhN3=i|&1T3o zel9Er%3I{J_H3QIN{R!rAu&`e`tASzyuKc2YaCu*Y1j9Z#lVn4E|X6+bLbbU?60cy zPeNivzgLC76QUzA6tfZ;8+Jq!1FpSfFJ8(_Qn+rSG@JCWA}KBl_vjSe&R;?#lwsq_ zrM3BS^#yTt1#u09vey^JRpmyP9}G^9@ed5}-ss{yZ;$r`x0nGTr3O|Lu)V=2!%m~b z!!?xA+o`i8-Rjy+^|zCR{}^hCv_ct3`abf89sEFLeyp-TQF*_VHwDvBv6_@5wjr9^ z(3BDk!Xk-?Di1s@reVl`m6sM)CBCdM{I*Nbza955Bv5o;(Hksb zMQ|%gN8x{1fR)Hu+uPj_D)($z1Occadk_9FC?$9`)3~B-95Y~YJ|qrKv>ff+Zs{S0 z7}lH;-ps5(Q^`NXf!^Bomwc`Fx4-278F$h@e0uqOX39fm7NpGj`XoKJ*;GCopK z$2FHUwa!m+Y@!$^ORe1~)d?r<4yscp)wzqrfIyWuE{0zoew$Y;t}l!SE?A+My{|YxGdS@dhgz#A-4`PyFXN3j*p!Kg_I2CP= zRdZfcVRhoF4gJCZ*xlM*D~730@b$t%o%?gu@VO#dpIlzHx6yY=9DUYD^2Kq00kXgi zbGS3LpVs$0ja}OK)*>s5m)3K=yrrr~cnf_5)B9efx zzU!sg?0m}SGnvZdKrhz(jGQ#y!>_5GG9wtv*RSd~aMg66w@q(}00?4&v9+8rJ;*`p zT`xhuN3X7VLN`)wGw~X$=Bj>)1G%5KHXRsyK);zwrV?xys8^~NCC{3@BO(UO1K^PS zwu*m4MV(UaIkKK0D;iIEMD=R#@}Ray; zD_Ox2H$v-F>}fGpqAHP|HyV@~pX>s~->Jf1YSE1n@5!AJN2IR(IFs;ewi~PNvcF2R zJXy6q>wM9>f6e~j%aoe8ef)zSeR+K+0^7X`BxBF;u`R;NSm+H{fX!PU2$LYWi}lh> zuNG1xxd_m;G9xfk9R8IokHXF9Tkd*L)>IlgMzQWB4j$7U6A=bMk363MCTr90h!hPi zxKZ+35htsSdLa%9el0F@(I@5Y_T|cht$jjZ?SME|)}|s76TRf15iW!c8Uxd#uZY9H z`CD_We+W9idFRs@_u!i5kD`Aj-pl+!(^K5c@>ucbWf?43V$j<(xdUz`pQ%G1sRQR! zc!NY7=%3iU98&{K1?tV9Sbaz|x9ajoWMFVczd5v4MKlV2Mjn?qVjc-IKE>55#nwuw zAc^7$@kWfL4`JPj$7CiVdmH%}W6!9_CTW|z_2pWOEi{DIbPcZV9b7#wy!P?&j$`rLI|?uE$iJ{X|KgUs zi`(-qaO_ch!K37DZU{Q|Zb-#@;T7*jR=pol{Xtmedr{RNgjc*5R`FhN#d`rq-*qqM zkvCRkoLioLdexz`JM-UgDt?Oxxf)XWzJ6zT@rm$*h2fD=VS)RCy&QtwxA{44aJAp) zvU8pN*3~<C^J!`3GxTBNL2S$RGrsusV5nf;jLIwB0d8 zxQm2^dp!LN&x6#)<2cH04Hd=FFr({NYn3gaK`*wz0=^Wta78c~55aFE}=Ug^w6w0zxZI+r~s$fAm^NTzw*qey8KukVLw@#c;-mj z>2g-aAsu~cQ)y~#VdAlz1I6i)Y4KsP5dp#by#xF`y#svwyojyZ1*K?Zc4T2vP-3LNtEcPIy{^;t29EH_ z=pI{VDENhVb3rWKdWhnpom>AQ;#ft(9NoABx4?nUEpPw==!PEsz;qsBga%2<2g8pu zDp@!%5HMMz0a)%Xb3K7+kd-ipM#e)2ADkZuJRw!7Q<^M#!q^yk+f@a+Mb9ogbL#k+ zGpFBv>&@T%>eK4#s)tx3#_Be3r1-vq8%$N;hE6Qdi*VP*X6WtbU1a_xBJXdsUzUv^ zCn27$C@LpR7ynhXF@((UE>j+s2zrw0EO!&9FSm4ZWeDAY8+3K)XDn_d=2R>xGZ(5+ z<7FNB)*JEo!^Vh=!z+_`5c4#Fgm4|48GgIuH`Xn)<_`ijWKV^gB4mK}z@>m=>@;lF zbK*%d`c9FKaW84T!R_cUg#{ckBpm^MeLBm5te1~AxK`U9A*2mXvY@2Z)I&I#5US97 zpe$(t;-T+F{$hP?*`JhOx`i2M=&ceu&9cHs<>V+EI7|{8ktzz$9LmRNK60Gp>N$M} zNorQ&vbRTyu$lh8%KT76z78lA@qb68ghCU$H2EQM^J}#hXNL}kf&KeVymS2GXP@+S z+Hxo{tg$>(l02U}cA=^4d|hEfd1h5nN`87=d~B$1u>Wpf-)){=E1cb4aCC!MUfSuf zZ0GKE4h~Lk&VGLG(P4i1$)QKGqM_f0lGGDrX|n2fS?a0sgB-9dMSV$1O+mu3?C9dO zFqYuVPYyno9aC4FRDU>`C2zQmwJlh2PhUT)z9hA}AfYfLCiTGn=rI3%eqLMM-Bvp} zzp}^qh249X?y!Gh>yDMXc5U3V+sWM}*v~yNCa5qYmPd}4H?Q@nFWV<8`WaUnH~mRt zIAh!ooj#JzpRBAw16X`V7>V52imdRX8NuvT*-=$F(b!GkG|V&`aaGxoW$9t*(LO=` z9vhsUXFGV`;T}IIyrK&$%dpTIBVrc<0i z%H{Jqj8F@+81u!p2$2_H>2dMWwK}Z+jQW>l<=6U&LIb@JH^ZXn4@y!07R53Ea^MsL zSbJ)f8b3`97-rPgww|rk=#j(y{r!IO-kWF7o+&8EU%htKV7oS)F2D`g2JA%e8w9zV z_;dX&cm)%?u1~&Ye`K9mEwHp1H|Vj6vji>}x9|_pH8Um&#OA*${Kl>*A$g*VQ;hru z?FX7z2$H!Q$q39DG}1Z^LYq*^qpwIZ6Ie2MfGr^c0wgHwKh%m)LmnhR0~`idVNuY> zG6k>oWk)s?eLK(6HpmuyXbl~p5LkpaJHfp0QMe70^&Jrs>pbs^B#RLTy*FxVY|ytb zr(5ZB(V4^8z~O)oAOH}A4Vrz?R<(4O?650z5mF&**^sJ{X7Jr{?K9y9kANG)ZkMzS z=9!&ACNx?;_8!UAPnl*LtEl(t)^+$$yN6~@ zU;WyWU|*NA?6}j_MHiaNS!Uvm#?sRj*%gH;St(J$;X#hR-m5%(7P|P(bqbj35ID&` zVA58P$?Nyb*y!-k`kjP&E#GDD>h2N|>X(ra0(8_CB{dlr)ME)w;|h8#ZIc3YG?t{) z9ZspvkFU&$ttm{bJDd!{a9)M85&XRO&id+oO8w(Q)ncaN)=TTDbyZfZ0SHkG9T2qvH~ttreIj%6*sX6O*m zVC5YeNu}(p=Y_chUIJvmJ)_y`S{PSbAkd-j9OJ)HkQ5Xa?Bj^ThJ)L^&Y^?-b2}!U z)0k7BeVr~&eSvmVbI%mDTYI+k{;K-3X4b-l4}u#;-b3d!t^kNpNa2f<)`OrqbOL1e zMH6T~X#yZPcH*QBB{D^#KVcDhqHaylp<@*C3n!Vo;ZdOrBz>m)-h<>>v(m`TIez^3 zC5xYbap{r=AAF#1zrJP{TfUy~8!rh1jObyN-)P1SBd5W#mBgjOJdnf~h5>$q)<@)qmeLQ{%DDk#V0LcZG6-tGPyru^ZdZ)d zMV?aF8|+Gu5BNY|S!_@iY#5*cKA?=<5vlEo7j6fL-WD!`AyydFBR~fk5g{&U+S82vtU*QB8 zDr95B8nr7{0t5g|zyan5=#WY;;|798Gruuj5z1%YExa;jHJ0T9-cgJYogIHx3o56b z9d<_R+z>}7|^fpxUqLUwP23J*41Q&KT?=}zOLwqT)nZ^~n1UFc;3rj1wQJWWCof9GAi4iXJUvQ%~PdE^yt;DE6H*b$u z_c}jd?~OXNZ%kd+?4Ovs0cakFM9V~IyjR}U{hAnZ48n3;Da^PFou7xyA#l#?^bU+v zeonqkZ3|u{53BBdTj(Ushpy=uqJVIqsUO~RvzHlZ)N;&C7=N+bH% zIaRX%!JoXcS4a}E4v1)h8=6eb2q1_j%-0(NH0KoMQvjc%?M;xybGJttc7}J@5h~gw zP-V(&;VW9vmjwBWs4_#+;PVtx06GMi4d5>Jq{d?9urXurl_ZC){q_M30FX~6ps`U@ z-|&PEal#&K+7I-}D+gC-;Wf%TUo2A?q!3|vN8pi`3%{{g+D7}QBd3hFlvBVB026j} zYnX;?64NBRZ;^)QKYTC#cqm5T#6$|STxFwE$Bskn22YuE=c|jK4shL;l@!)geh4m60oA-cXpWUax!#Nd+F!6ByKMz6tZ zoX4!(J!9>TXSUhza&-y|@;sCfQkfqQJ^&pMCW3&l6XhU72IR?aQ`tcsRrpiHgywaA zg?Q@<<4ZCk0S(_kpViK;^PK$daf=+`d#Ha%Nmpu*sy755GXRW^iMQ+w9k9{MZjH;R zl@1TD+rD_)E+q6#iv zb$QX~F%VDmUktBCb!hC7jIcwoKKp~bH@P@J=HM~bIkI1HnGiBgjCu-Cp~3G(QI7;o z7-`=7>bb2~$f~U)zA|bGo&_IFh|yY3!Clj@NW=HZ>crYs;hcwjv<@N^V~l`XZbl&^ zD4EwNF!DVwqOt0$V~WJbu~fnEu`-{wGZ$YozkzXm-}K2A0fr&oo5UYf<%Lz;aEO zMHyIPW@nWA!p}ouG>n8EIQg{X=cT(4j&8k6`~-+8hABc+f#pDx5LzK;KxVEA;I!Oe z9ccgbNmu0y<-mXOX^y#h7{i5Mmd;RWP`i$G?#Y2{Wbr?hR9k8!1TzXdnNoCMu?uL9N@)Vx{*Po_37Dt^vDr& zAD+2&?W+-iZl&4rXDYLG<;I!XGS;9;jE{5)^nc#P=U%tSp?+DtqiYOkT=`PDAIrPP zot7`|mEU1+ykTp|z_o58Ry*FmX2%QLce{AF9*FQOO^>K8PDWaRB+*@n8o^8$fde^F z+RSNijNqVCE)q&req44+RN#K!%^n_)?(v-D8f6!l-z~OD9eh(Ur_+Gsi@+m%wdRp& z*c;z{M@av*Znv#=n6_reN{78JUhc^U_E+V{!_NQ?Cg`|Wn`goeAWQZVSqzk2ubN*S zH&AQT=0?@zMAYTSn8XtffR4(n$fD%H1L6KI?k+Fxahc{EGSn}-Tl`63$kFY?g^DO4 zIzVlW7bA*Z5;cNLy6R?$+bze6k`E0jLAI383>TNR8T<)pQ!Lg-CK^WRb#JI@u~EXB zqK(usO0UiwI;3{JMpEK@!uksm^8egsk)v4*1+ucefgAAuFu)P@E%X}{3ux#{6+WUM zMiqJnDSShK;bnVKJi>24WsG*XFK`1XfEjtjSRFUC*PKO8fx}0nB4^qm++Q!qdhxLC zJO!n*YhlbF60T<9!*D6e$r9Hbr+J<%)?-x=; z63zqH0dzR0YECJdbCOUiR7Ubx2$n`tkvVO040%#DxrSbI(Rdd17g^v^-V#eO36X?B zy3G=8P;`Jlpc!9z-pee9r?EabQk7eVEUJqdlO3)AOiq7S1`JXU%>&a&HLwu5>2Y}w zoh^bMxB*mB3wlw}Z(LSWfRp@5cgX=iIuZj_z1*|^& zJ5@&D`Jbd2)Si5SRR*MX=M*D!xQ<)7^O23a);T%`2m2PKN7od$zzq|CoI09;j-{?R zxu!6owkT1ArpwU58s*3-Rk^X1@EiGX9gz)%!g3fv1NkMY^kB%r7+)`6_q9%_L%qg$ zCijl0lrM;W5?=!5Qz*`;ixBNJwlGpgRQ%1DxniDeY7>O#rJ8BkXaNzdxJ<$v0~)C2 zm{l$K@T-(-?J@{}2Pvu;ie)#44*iUdRGimMFqNMRZvX|MwScN4iAoYzx=O13V+Fj2=}#R%^j~lT!z+A3c1mPmkndV&=Q&ORw?dfV z6_S;O*&O~BqaL-ROk<}#*pC>2M(F6aEqM59$9q=oSiEf~EXTpP5Q;ico^Gxb1v)@5 z6fCG@#jKMab@)(BMP9tF#fAMqk5Q}ZMRP=tQJp8|SKYB3;pJFnL~i2#@F33}?ru*x zxJ_}1Ab#zZ#Iw>5v<(^;PNNw&1X>x-FYQ%DpUN6Td{EmP%sIlo5p!Cw91t%nursru z1jB8qox+0cx)=}4L^#9i_#n_>Ur7-x z{u?3UA#aWwj2s3z78X7kHjz!i8e#7YY~X@H&a%-QGey3UToFsJ7@&9XfoAJ37Sl+Uh@g)tj;AE+Dsa>gz(7REJwB`Dy9af@TjrJ6N!3|^+a03+wejUZB!HJQ+?q2S%>~)@L?>)jh zz0ZN;f@y??A)(MVcAWq@pux<}q`+mS#ufY(r&b@KzdIBoBr1fJf;P?XM@WG&KtRBjv3Q$&bY2jQ^~x3-5Q&L+ z)-z9V8|($pbliX{nWTWV$Og?hP1qz>2FFT^cZyhikfFv&=a|1K_0lTXl9%^rJ0lc~ z6Pye^kNYuzDQ+91ocS_ELA?VVFU=`Y&g&7eEv#Z-J%AM_qP=3|sFubN%k+yB+-f zz0wn-nAw@%bv-1|0kZ*a=sR48t_}r8I3u`Gln4V56B)G2!|h2&uW_z1eZ!83v6~f& z_1c-!^{VMBv4(#xiUmyh2(6CEeYXanW0|#P`&#?mf%|>(QX}h%5>I12Id(|rI{0lY zP37d_w6OFjFFZxVd>vCGy^2#qFuB$iB!CY_rD$YDW+?myathGF4rr7d3?)lXsGsvz zXU8Y)UB~SW9}ry91tu0=QFl>*RnTdWUv|V6`~)zBVPvF>UUO;r5nBC)7d`w2upV%k@!eZJeLW`-HwXZfC>5hT0{;fF&wousZx*LR=O!APude_Ix9O;; zV+ikC@ed8MB9;)NKMWNbcq|BmjP?}N{@{7;C(WG$v%yEq{;7!4Xzal%VdIlBZ2_#A50X=?6 z!^oe|g3MO+NGn)aw5?%=SNDo`RCpe{EBHwpz%jJ4s29LSOjNpr#ey2JD7-!ZWiCGG z_oMDcx5@ns7_=(_8hHX5f#sU43{@pcUGN9J8J>IK205CbM?MqBNG_q(kfDb97Xc8Z zF6LCR6i=|!RDcQK27S9|i(!L5Rw`{p5@K%qm22{BM;h)zR{u+17# zQEoud2g4)7{I~4eH*b&E9iGX(qH85aOjsQwpQG2y=+*y(U?E$4rxEu&vb*jIw_EFS z-|FqlcI@!+_smHSqrk?pgWv|WpR3FUH^iN+FflJ_e_V)1fctJAr)>vtTaEKCI|M{X zs2XrlnHgH15qc~$oCuW4tO$^VVEMe1(713Pk9|%r?{>IOj3J`IPumeJr>6i`kK)bdux+DFNNWk-r%H4-0X%CWs6=@8)~=XnTF{7!l(a%@uiz($!49VsUTs`gjwB>UwUCM{xFN|W zjido|zzY#tf)a*DXc!t)7$My&leyeYkraKbrkUUE%As`RA(hs!Fp{55{|j20!B#FkxRp&+sY@ zSdf*_jZsJ@y3mOic|rVyKbCIvF6iWNVDN^0_paIX(ryP=?|qqxA(gqYkgCx`15<38 zIB6JV7L=trhL{U1@EgVH(GlT++uU3qvEO&QS4yu~bn>4xUUG13u!pmU^9ws2?%Cx#%sag!mBM)I zxIvSykdmG}>XMi(fOOdDBcG`azAe8)%fDE02`v_KiUn<={X_i6i1tHlj8fw1AgF3Y z&cDe4f0{PXgAAouD(Af-gNp3m5WXI4P9ypHSJ30KL?a1;K9?DUISU+MB{h@3C|?S0 zibyN8G|cs^)iQaGgyAzG;vnG=*X&ibJreVQEaeQpf%yQZCY+|=oQ>`rhSwamEg^E= zEkkGuXp%%C^VHyxmWl=5g6R@&9E~W9%6fk-_=t!|8Sfc_kBZF~;KJL1AbN90O62;=#gT*cB;i4WtsF22P1ymF0;~p&rpfDzD%MIHpq~ zP8M1n^Sc`JEhM?KXH!T`Raj3`tH>XpPb59B_bUjjY8LOA26ud?wP^Xtuo(Wijd$CRI&aeBrbE7ua6!T z)($ZZgO0h4$%unJdvv>P^oY3+J+NWLk}w~qqSO#fpQsu{c?cU{o?UY|Ei*Y9+<4B_ zcd~25;Glxe8Aknv&alykCVYbffoDT5PJ374blft!ZVw)@#_9eI_RIG=1qAtKr(g`l z{F=sG&!msA0Ceb51E2x#A+Dsjb{H=~;BY2Kat$wyKM(~h zb?GoA&~aHei;4sJ8u#fiYESR8HE`G(r&;TEtaWyY2oEaEOl&-oMVK3qc%h-_d`n(dSOLnEv5MwR9FO`m?aFdfOORIKULV+^AhPM$V49LCUBm~ewhM3ST-KN{ydpaW(jO01#`1M%NL z#5VbTp&UTc0p1ZV!~nWfR+E7|pIC_uE~LaP%r4G~Q35!~<|y~jonqx&?{8SaLXL<+&FiKrY4IS$H&2ATa){Vj7vA0oDL7@p)eMZ1VYJFf#oSfCBP zLF^lkz`ElHg#rN$4bt$0ThZZII3&fu|);*xMdh#sgqk(f|%nBxZ0ljvB86?#zw z0hA%AaX8jJMa?@Lp+*>_$x;rzgq{cUD7b-U1J@C>&;WAWnHIPqx)-B!>kcnMD$W->~reV!Qzks5s!(5ZMrCP^F^Ug4IDIO4qeygt~R+ktRx0kK`pD zObGJ~@_X6Mdx~So;Gm)&=(Gtk#b3xoo-}}|jZR~N&ibi#;H3DxImGtc>^pw7!;{+_ zcJFhGkB=x7Tb8x0Ax2`;!5WlpfXxg^Y!)JzD#4z8iPAp{(uLaA&=hOKOei>k(NA*`4 z)1_|igk%}>D@zMk$63z-e;`$C@!WBHRTD%Jg>c65F{YEAilwjOIz7EuV(1orMeR36f zk|8BgRO(#B&S<&5>0b~ z{)suGJINCx0}7#Lfk8s|0)@gw;5ga>H#$qPI7`e~(u{k0$}(McFz;|QsF-EZ);_k( zuqjXkB|w861cSaZ$@%u7m~qYd4Z&?=-ZPyE*P91*h`qp=TL48j9^=#nB3bkj-~dRX zli_oK!0bqu^cc8@GNdJLbnn)6h@IWc`=>2?@i~9DojLLTHHAqhju1|s3E4u(9M_ek z7G)+JhzUkVu)xu0yhr@t$f|A!-_gW8hij8jH3Zp;QTzAJ5(>^RE@@r2h1#w6n6!G= z;+=cleD)>Bg_q~!Kz(2gTywiF{RjJJP zMeU|T2a}hmHH_Y0(4(%Na!T&FCD?AY^Yo2-mpZ!p1^ee@r!^fbe53KmJEtn%J5#Ce zZ=N{%=J6vy$EnJ^+On+bqSTs_OaS3rO#uqwi%lhO9xuJvcv#bqAj(zevf_@MHw!R zs6ljCBUw5$MH)ufZw4Ok=#gcBsG0p`W`AFFaTa!1MoTkpI1A^@o!kQyU@N2?Sc@%T zvTq6&SsShXOAdk?u=H3tk-L#c(0{_HKn2L)s9tf5q-xZjP=p1u!I*~zVJGf=grbE1 zfxr>`C4f!>%Csfhn@uq0xIvFWwy*)rzu*9pFF1+A2`U}^v-0lExWS^d#sz<|reTex zK)l~EYU2@y)tFc|P|LK@Hd+WAAp$Jgfn%Qhm5$9 zFJ2#LW?)%c;|5|Bj32F{ZJ?RXb$}t@2AVhQ;5u#~r+^X`8SOzyWctIJLg`}rQ@TMMhw`f_Qjg89rC02A3R^OZg$POF}C z^rYPfFZw}|!`9%{2XIvAEk4WB1rPho-v8MV$8;QY`eQwaH=gRLKqhP=9A?%KuEXHFU0zpmzvezoWI8-GIWq5~%Fj%YP@5#bv& z;}_xRp8d@tu>r{HH>X|Ku}5_nbj}kmqB>owYbH;buyN($w|8y$)~lPo_ts0_eRJ#g z-r5E_ynpBQEg!tHLFDM2?JHi}y8MkDYv0?o8V~vAD;s@SZcbt*{%m@^y74=2Y_T8T z+P!|y<`pXz%^EpjOvjq~YX^-zqjt^_6Sud+xU|X>Owf@gWlN2i6Q3Fuh76@8Npppb zGs^lPzUZ~_pF)l(y?S5FukdZ%GDOrSR*_%&Ns=LTO7)7eDW>cc=smw8*)1!N;ZR}Y zk$}(nkP7e3;=e35>?-tXh$Pknq~aFBG1zZ@v2JZJfxPa^tX<+8tOoNk2Z$P~LbnVM zLtB;y!IC&&hoSpDJ_t}qncoj?bQng+6a!7)7K)Xn!e0R{&iQgUJY{eMXtXPDcw!Fh zbTg@Qit=z3ns-cmvRcps&RC}sx-G*E4u?;Fxp?#AAul(@bAG00>amtU!ypYZnZ-S4 zeJhj7FAUcxKMV6e!gaX(2#`w^ZIaWun5E~SO*b=Z4u+Zo!rB_yEdioAsZsj z{Oa;M3^zldjBhB?l}LE+aiDD&CLt=A5f0*V~0IEBnZ!E`%OGy=+Xlwza04p zej4zq(;|KyzrKP|-2T}SM|T>0%A-9l{Q0}P!Ns4y zyX*UJ@A&@P+Y^R-^VL8J5CIUtf_ft*P69f<{rX;tl0WV%Z|z*WZQbH|b7l+~HS+!; zBfi*g{D~u09y<=BD(~L8zl-8-W|N3k{D#>T*3C!U zD3>@WD5+$I;$SF&iAK>Ls!NlgzPfK8$epzq^tH#-7#)g^?w^|?b03P`0}m?7<}m0I z9_pEaZ>*Ky7he5+*f)KL>Tkn3EAfq%_e#e=LQF2$2=|M5&5d;=Z)9cnw*7$z zoN(MR7hQP%V-MV6y}}iNcTWhuUKv?RC}Q@woBhW6UWxCSU7$6 zh6Rai1mB1VZ_o_;t-cZoN&mEG-Q0~!r$e6Vk;9(oKk&Lf!#>k*;)yl$j~KV<;D$X_ z6ZRZ5?j<>)`wf_PXtxOmbgW~Zoc?IHi=KLcXar<6&YZG&?UMKQZ1~O_TY<)(zq1o! z{NTOaK;!%G?C?t5BLLyepdtwwe8$fA-hAo%Z*4DOMZ%f*r#H98KH~A}CKU!Yu3XqS zb%V106<1Pi-Toyvj0rTm}n{Xq!4vb2Vwe(7?d*NS@ zt@2)mbEfm~NUH(VoCbM25i|*!(9p`t2d6lRn`7S~ZHXJ7P~Y$7xN3L~Gy*r)2J_(& zO}H`R-FU6<7>M>FDz(5N0X zanjf|%jdqnea&~@*!qJUX#C*4J>PpXU<53{0nia7}_|CE7` zFK*9@3~YSur8UbJOdmdJ!c#+rUOQ;qDK&GCXn6TR8ZahFVzwS-Ks|c@MleQJe1%QO z-n2;hu>H3|HY$;X=CjBO!7YcZh_DNmvi=_;K^Rr$-6Api>NkpFcH~%mvkK_xr7btr zgZU-!H_9$-p~80ivr$1e8PR#%*!r(}rlipbr!H3!$dcAu!?DKK%Kwm$r{L0t?UKK$ z5{HE+XuzM{7ipw!3*QBW2GGFap~t=5X}zLu3~iWdA#pi3gi{e{B>rsr{tyUOXiKEv zvw>jTn~Z4J=+}kb0ykbQl9)2PPXAm$P-%=Lv;o&x0lR6)lH#oQqf~DKiUb-QYv3Xs zG0VduzE&EZCfpcN#hqo;Cl>)*sk9lM24Rh$U~vz8UdNts^hNF`$Rr>)w`bNOR-c z?YG|%haP;2#Q7^P@7n3nX`=@SEbiX8xC9z@-rKq2gPqG{w*ioVj!la=Hs{ZrG0F4)(8(PzEdc)|o zi>JM^2}-PlLe2>>(h2Q2?W-H-?_N7clKXCPob`LXrd%K3D_JXVY*{D}lc1w|Wc@Qk zs_*YR=*Hf)m-eXr?29AMd1l~wo%??Jsh$@+-u3DZU2l6 zZ1LGqMGd114baFsXLjbIB(GbZtRj&zukjzEE{3XAXj|6pNw`4+##A?KaSYQgl(-K{ z^os;c$*|$@yg%9xPmp=0gtG~|Xr~Dg(`eD9TW__GArfbvSG?|ikCDJ{h+z{JK9Y%-YvZ!BNdq z$$m_Hql6pG&k}AV{YG0iP*c6y_}5~)_Jq|o*sL|IDLQj zDxl$I$BWJZ_b}d#@jV+BZd@^U*}SO@6GjdjQTP1dK@aroduR9F*FXKj4IQ4np~Ew` zb?p3DhYlUPJl(VVv-LH7W=^PCKCfYqEYz)VV}oB5{d~Y`hfoBT!;r+{mz{8C7;=7RpwB zjELAqy~GH_@Qvir#u0HDB}z7|oIj~?QrEg+*AE)=>A};Fp0MLUe3EsbkHHO&aSCK; zK*n%b+mR8EOkY)<+b1W#Rygazww_?qFwwnb!6OL_2aJMCR$NbTf$~9#NDB&F{`G*A zl3AfKT$;cnzhU@5#__3d1Z}RcVKwAlC1FW2qIJ>be;vUNzDmLmP8IUz8y;zrsL6+w z*H)%82XT6GmJY!5|c7_A`}H0AAQsRlQO{tY9TaB zszsDz`OhPXo<0O_7)tD&(qOTJ$+NKhG&f7#ilRpuvw0>tpyFT=7`!R~7`(~qF=i8P zR4*;`oxT@0AdiqKc(ck^=L}a!7sY(CqY3=cFO-ua=c%=0}em($m37^)aTCqv#)>sg)W^NCk)@b zGIGpK@gMA3`N6IbnZLVpML@@{j3?|`=}+SqJ)r1VwP@O+S(7G@A5mRBxL3bkPxtEa zbhqbT=>A-v?$7q^-F;YfA0^6XPZ&b2t@5y7ZdfQgSADQM%#%bj;#8YRAZE)cn>Kz} z!^nY+3rXWF=i@xw=r8alAPwtvmQ{?!8p zR1d7Hs~$6YsB2j`b0TWAW6kV0wy#m-&@d0O(fn$Lg(e57Kf}?Hxk+Jyk0oTtK!XQk z<6Aq|?b^8Pm949QLkT_nYkV2neOmoDts{hNws0J5WPqzA$WUaV9`>`V*OkGxv=Y3 zkpZW`j)*5n!3q-PSpQGqRmeM74m~>b2J8N@IAn25d=)m7i5p)6OPng>8+ME%wdpSV zI*mcm@R|Ref~q@5pVV|3xM9*{kC^XDI&f^atLiOTOwWM>JUE& z3nJB`mb?Uf`_#_=Ezr;c2!MEVPV(N!yH~@C?hX&+6kYby5MFv5CVgI3@RAQP7~Q-g z0ymHJj|v+AIe8BNuN{_xU?}~zN*B<>?BEg5RIpRj59HtIG(7ASd?Vq;K76BnP$20r zyrw9}7q1S(Ff6ZJDJLL?|0LXCr&wA`5**zib?da(Lu1BBVHPO_I%*R)QE)tq7ib3Z z1KiRJbl@8}A>3f6@NVV(qIoE*@Wl82Q?{hwk;fkVsn2}sD_2~5*FD{OcAq_M!sZpT zIT;eiNbe=+;EqU+jx^-=Y+Sr+-8_J>azUdccN)u)wS7iZ_a0T(uVF<08Ke8pnJ{=s z<4~n~*Ug_mfRTANR=q2}na-2p4{gS_l{1&m9zS|i-H<`Od-m!+uwRdfV*@&@(p%sI~A1fg5tz$7|0Is z)20Xr7O{2JoVD{OESfQH&V(UebHT-wx)sywtuwN2&Inb$w=J8Zx`o=L@9qe)5kQoA zS?S8Qub#DP(ez0ZM)n@q|KaDl+|jA?!_PhQ;=sO>#@8>KJDFyc(S&1^UU~EgA-V+o z^>enbo(q=<t~x}}TfkDNH6Q*HgV14f@- zH~;8{m-k!z!^pP#Mt>@oV&mIUo~6P@Xv7d8Iwc(XSo4(K>gU@Z9>Eb(5SXy_SB1L8 zk*N-4uET zmXy)NQfMuMJAe8Q-#2D)39$)*7^|i9ni=JH_$%gpS0-sQZhUT^=|15`T6!jr8yq|8 zS{SxmzVNx{jHpaLa!XN2QJqX@t6d(T(V?!$iNrG_>4tv;A~|7cYu7&7Ly+^CuQc0V zXA}<074A!)WGJ}-5s zmo1z(vu5n5`vwmE^5DtGk6zn;_In|aBlFw-&ru11wOe5$%r=C_YziL*=XQnd^e=>_ zYxA!Pul;8X=PU0LwG2amdJ_%MbGSH^5nlGA!pqqa!`#&4Wyc!#tf91#K+tDy{biJR zs$do!acl@P1c?&aa1tJvX>SEIiJ#8-PN;%IavvSMG@{@5MG zE@Pwo1-~)eJO7$+*L>lsn2SLu8B%1#x4L9pQ}aLkfBHNVz=R&O|1QgyM1^+IOb$lN zTz1OVwln+VGo=}SFK*n@wA=|)@#4^} zarTOpkX(Yka=&Q%%yjx>%pjaL=`vdeMjZ)x*mY4@RfQr_7SdUHeCqf~iG{Z!EW{_Q zRK6qP9IONJLw*>NM24tQ2|5BdvSmF>xPij`w>EYbtka$^U46*S4}bEJ=dXQn@bk5` z&A73o$)}NdL=$vCjErxrd?z9-%Sk)l%i^fLY1 z$l=qcjHNUKFBWad14Z85Q97PKh?YbQcjxlAcdUA!e`XJ-D850kk;ulbX3z+SMyBG3 zoox6=jMcyTBtPnVdp7cEEL%9ee(dN@{rcVV%=33W+vV{-eFl#jId{&?6r)L!b>9`z zP1Z^#=UBUL#rz44lb;wcjiL4-ieQl7wdKmU;OfF!)~mzR7$lBO$bHZVq| z!&o9PVf9bKG(c#{@MN+CKKw57p5!G-j`O?8NGXAa9j;5gE^V@>&7KNf3ZYg&sDa7Z+s)lP@n;96JiN8gFp-I;S`m# zFB`PX?a=c4ALU3u2dVj~0c-pxCT^<+u=mLn6K=33#9aFn& zE61^72*bFQ9_&^1NZ-igixOvZg6H_ruDH$6IEcJI{fw(K~IQW5Xr#&04S?p)1Cd3(odL!Klo zl;O?tPV;+&M;A_%RIaQy+a!?HDQlJ+FVE@6$u7$4?r&eCcepnh8*yJaDNgAiG7tcgMzMb7wd988qR_)LpdZYRM-xzm8!}Tw&ul)y)JbKaDDsafRmJW(!~UKzQTU!-V;YQdgE$W%W4Yc3%tPKPbchY;sd9eB(g#XAPLgKKuY4^s?)_-;45*dCzriz^xA)t^4bU;;gUpFB$516< z6_t)jpLa-Q4A22XxNG1B4ki>130vZZmOu$A9U+y38zS$Hl-N#cbMTEbBH>Ok(g$7? zp{}B_=f$P%L2Ue-6d{ls&4^6lpgoG7fi-fwmbd|;*(rIyXbB`sx2UZhcSHO|RN54C z%aez7tUj&h*y{$3={9zvq|;XI6lCM=ZOh@tTQ7x#qwFQ8RV8qBY*x-5quAz#xg(Gb zuc*lxxG{PA@+RDnL;CWjCEM37ShuKgR^w<)18nbrR4*I{rH8 z_-6FLxE53eBak6Ol1%HBi9(T$#6a+kclT@*9GO06MxQZb@9I}`VUM~`y*T=$=ZD+5 zt#6I`%-GV#)r%pjMX3@lee;z~yEiXiIA?NgO}}qE{NP2GUUI@|pFHr8LyJ|-BatmY z%Y=1T*rb)seX}rnb>U+b1)|8tUC#$f@LKTiskI`Bg9RbS&=E!oQ86=wyj=e&nH32) zA_$U=eU=4hg5FDu=(`l2r3gsN78?6fxhdAt*({ze4#KC$O{y8p4FZh(xXYixcOwp) z%cD>HIdJ2b;b#DVW=yt>*_m#W=$h|EfKm*UtHd;ymvN>u<3)y**I`G8-3MFbl6a+q zt**nX^J^jD1auO<;Z#ANOxcFHsK+O=WeZBp&f|vB3LCXkv_gYJ-1Ta}GUtZr9%y8~ z%A$}iQJE9U=Vc0EVjefki<6{`Z-js2m@vU)?<&_rya6AyyliuX?#rzpprx3_i`XL> zXoMqW;+}vn=_(}XQMqV7VQ>>@a82`syS;fkCE~En{ta#uBiz+U5T}?_7f<7@=ep@mecR5lgM5F-xfhHx%_pd_w*5gQx6{ z+H^~Qy5IcowVU$VaU)iepK|&%Q6=M#)thWP?q_ z4boh!?5!PZI6B_gzIMlkr8_q+MG{0kB)El%ktF3!!g9*4t&V^cwuX4?vLy>fOd9`0 z^{^}YjIb))aWxAL8NBF>LDMhpKlU2~>uSb~T(oHBu8m9I*sT^Upu;m}8EyXlD6iLBIJqTOKccPH==fV)#08=+d#)cZ@HF5C0^2mCQ9JFh?J_ zNOWR1Bjtk_cS02<*+vMoQkrD*$Mi07USpp#O-Kb^?-8DC_E;Vw1>z#RZCv09aMShA^NY*cE z*s@}#!o@-!Kx6CDDOOa0Q2F7G$$-u^Yu4H+_Ybp4b` zBL!JVJGQKZT}@?;qr7l)<*rn}i;pw~a9`P=9FUCog=CzYR?JngXW6{QW%H)2Su%6; zs`;;MTKwkrwE#pyYkGfk$NG16g|h4Io$Gd4jYC+$9D z!uF4iUVHYCnGjF7WXz;7GiObiF?C$^;64vO{NUwRT>7aqPCw#^!}r^-*+wpV7Wi;w zmqjQxvo!~i+kKzgK4&u35=Wt(a6>%NG~J;#sw&t zq~VWdEdO6cLqK9zTN_6@BSm7D^H6-jC}xy}Xd~_SpQ665&_IQ)iEp%6A6Ca^PhtM! zc2%hoj04bY@Dl=91R(K^Gg{*thCdQwq<{XB!bLZPQw7ebuH!2V9LjLQ4>LF(Qo?~! z^Vl^s-mFD1h2&uP#O;30C~g^tZPIV_3n!$awR|}8<+a(^WB>wE{z>`Q>M+Ak#BcVu zm8&Z0%oW_*tKZlMHx7)pF~Nk5qQO^&3_jL-%Ef9mIIR)S#7p{(0rLt&7KXqNWC1Se zijmj$P2{I){ElE6SRcDjxQfp?fkq0#+AqTmd;?6C)fUr24e+jmmH$(wfgz!R8+Ug( zqRWWW1~lezgJ&QQ8loqVH!o?NKW*&PF+(Pes-7~YdRF7urL)FuTr_Fr{3+g- z&m6V5ahO`Z%cl)nJ#+Z#nf2aR&8%PCSih)o#GI+)rc4?=ZsOR1BZhSzVkzpni~EdJ z@$Z=7D-RL9Qe-$qoN;UqWy3#IE&tgOGu}IT%*Ioy=US0Orr09`Yr5Cg%Hp3gX~g`Q z6Uj=qENM^_R3w+uL*~n?n-+#fed7Z4!5s10vU2w3rBg{&=gpWnWy0{WqlOL}Hh5Uw zK(&K3e$o6n>z2>kw0hy@HH+4-TCsV}Lb{6$tCwwDy_8gA+2RFLXEpX5HtgO(^4{ChPlPWI!!J!R%lyg6?*Qjfs>w8a^9`|(Ve$4}qe)htPk2&_(cJ21Byi979 zXnqAFg|W$^H*p}MmA6PMTOEQ8)kjTsm)%}y++Eo7>%EayAr($JFr_E&m2Kb~Ii(iv z0YId`@t%1E$`5|LZOaH@U_P70_WWm26-|XTxMWG5)Z8$)5_MD{QLY7UwA6j2j!kJ` ziX6AIN=SvaocRnlC^wLEwMNOJ*eP^qdH)6#fj-Y^Kz~c=achU7x^~JbOEy;oz*>#Y zgFpD!@Ts7m*%F=?7Z(t zD2q`n`k7p&gjGx!$s^Uv3jJn8#1ow>>AuQvgSo`Hfovpr)E=f*nSZ7!0CacgMTNTf zG{96zFN*wlfe!Yj7ZI#hMLDu&^CS#X54|}*C2yy=Sf*3i=6c;&$Afn%gOCF;cO%{N z^RDJRKeSWLIlac*P%~jK-^g;!A{3ixHgFHPL3O!w_QbIxYX|l1-mPbk?tOa=s2MbJ zMBRjO^-A$reN{QGhOsq`V+KwiGhpt7>e=H4&7J7a!Q)2NjT%umWLQm~njswq4!Ku8 zOW*qQ2TVMrX5LZbwieN29WOv53mECf`qJ)y3HibDpQ(Ox)c7sO4_)%ia8#8L`r129bPiUC#zloEkO`g~|anjUDUK6HF96n)O z_n|}Y8&rQq-;t+Q&pC3^u8@nH-#IpCym#o3g~xWTIq{)xCqB~Sh{p%C`|86*TEtR+ zD|;6CKTV?*JW;qeALAnf!d|GniRNq18^0;Y);DRg)5Yuel8xU)p147$s}olwzOmOx z$vhjWN2Pz-{h#6Oq|Y=STislm+1cpWW95RMu-rqy*7%ArO_5ihu)NHOXnB(08!dES zfg4#U7TXl9=r}Yk9B*gx3wiKt2-EP3>fvP;hju~(ocYpQinn);Ec=c_t2)+IJyjDg zIkQ+j^kC+&=28r_?CBUFj-w?Ms+8?i7GR2{fQsj@1;RiltBn}`>Jn%t3x(6bY>scx zexMd8$GDw=8)kn!c~L1pWmdD!{I;;3u!}sGz?a~L*aVv7&Y&E;qs*?Beo^1WH_Gx; z*dui2Ktqa+chO-lZfb$El20Si%RFxQOvz3O|Hi)V6c^4h3%igpr5G%KlNYbLfk`{3 zo!8uV@Pj>0d$#6=e)ZkPPAK_B873%)XlxR4U?5vo&Ry78Kcr@0$LBiV*750EJ9oLa zThAx@^?$Cqy63<_1M8{>4C>Rbf6u-HdJP`bd+^{s=|%nd!hpV=d-u7&&p^w^-_URP z1p_9i*7fn>s}7sI^H45d)H-s4nn5EOV!D&(ViH{WzuGPO(P7ixI%?F~Pt+|qcfcfm zhFkj&eV~8MQ-f=J)Yb^NRF4`ya`dpVV~33yKYHxA;bSL^88c?s@X_`DX~58$=WA*o z=|A}PZoO{q`0SP6c=ECbpS=%8t^ZoZ|EWY=C z56^|`L#*Fy+peNkLH@Pqi72YfR$>YBxO@=7kpu$Xu>z)tQZsYQjFjZuD8r3E#I5EY z6k}~`+rNGI<<#slSe_eSxZv7CtvJr#2Xvr1A7z6ZEp=Z&gL7l`-%x$WTIwo%!Y&2> zjTD6HJOuuxkZ^Dew!{tHn;XPBk1|KBML2MQN=*@2pn0RI=sdjYvHk%a=6Yk(hao_I zGa|xNxj0%S^h~M>H<((aPvU=uG2+@RTr;-bMfm?S7= za$<0B;TQnK%~S)#@PQTH^@K9MQKtLCEAk-ew}2aon$~&*yye=Q$2!gSAb5|@zxI%? zJ$m}XUBBABf432%n&PmWiWzQ%AUtV1Us@t>W%lIy7YFpYt@E>&KJnbS9ebVEb?_xG z)?U}U?rS{<-PL>GZ9V!v(7oq_UAsQet;YjBdOg^)_x&&S`Fi(0H@`UO@}6~H=~e%^ z{*%tAnREQ8wTDmG9;JR35*B8qy2?OfFLeAiEHe1H{AUNudjF8gyFWf|%PF<JnC`-_OzVG-` z2hTd%I!Yp^V|T{1B77ioljC~ChQh+{7S{cuu<9oTD_gn4fBbgfp@qw{HOd7zDsQGp zmbF4#w=lFVdSY>bkZm-V#c*Tor`wx$&{zG66@&6os&mPSS zY~b(}a6`COQISsdv0y6|7CJO)YcZ5pOGHAeo*r%~w+Lq#^?VeDKt9%E*ct*%1Jk{t zAN(q=E1CRx++a1xZO0Pte!fT=nyPk~(2Y>DJia0HOx>MugO>)bF8o0#QA>IBcRd&G z7rxDWhQ6O5Fw<>Z>{WM0BYCJeY8=VuwRs%IGL0)#;V17ktqC_&Q{mt6)B-nt7cZs8 zv?{4^fkt}D`6upROWhaT$UA?uFv&Cc)_AA+TT*^*>4v~PcNf|>QQS!M#n$Xf8r@aW}h^4(Z@!tIcW0kLuP-lKeC4}sbf$Q zvoTN7l?FVrQ?fEjj=)TJBg!`Z4Q1~k^S*a*!z&*fzfCpuQ-&@&d(gDc44iWQfC(4% z9eq)sQ5W|beo>#1@!ogzm-~$q+l4Tv)yzG)dd@L53l1AN@6Z8r59>Yc$bK`9=s)X( z+Jz_AEj)SX;*;wZoIGstN%c$P-Jiqa<A&w)O^lXP6_i9!wio!C3)um^gtm)df88}^oY}b!VOlo+_$}Y(S7X{80EJh zwgzKgoaFutd3?^x5f-BSl+zFS{6&$U^6(Sg2KJvadGzL$p)=`kSpKsE7yamfneQGv z<+URw?mBABrsGGhI$`*V6NfE1ap>Zvmr*}*m2k|FV>cf@`Q?MAzH)&4V)o$V*Q**{ z+kfht`!&9Pz_hmxnEuuQQ{Oyb#@pHZJMljAodc%7eZY)&o&SJ&-)(0ew4nTke+XZ} zXyqus8yt0qC0|orxZf4l{aq~Wp(4#6zhQ$wUi@9ILGCHFa$>74S@BFH zxe_oTa3gzP`->tE37eglOs%j)Et>9aA{g0CPM`OcwSQm4O!x{aY!uF-jRu!W#Z%vj z2#yG&LH7|h)oX9P`G&4to-HU3Qqp~yug#LWy=7I^;_flEs2VvQ#hGdRioF`nk*Fe% z{_0kAUwUr|H;g7y3KWZPK@Z_#RUDOw!rCk74a#9EH}KJhvJj^cnno@#|j6 zyhUTkz>UvbOamWFq6nHK+(^aP!@sekoNvtfR^W!Z#A#I&;(4B4&Q+tbGyp-9KiQiE zu>|Dg=m5}Fw}Kl-gd0SVUBM#jW|Ee{SXK4>u9z>jrX~3iRN4m`>7kd7L_8&@`$DdG zGx8ivlSH6IgsJ`A6K*TA6Fe;sq@d4Njy(3T)6P8O;>)hN^KSXD+?!jLWeM+Do_k0` zGZ7{vS+jV0!{o8uh77v0XYD8ZPC9b*#-ftl3xBx3WziP?#r|`?x&NFG+Rc2g-PAXm zUiPQIv%lOQz1K5c{ns(Gm}T-2B3Bgnkh?(L*b6qMgQZJO#6$3l9VZYbb85xU_gnl| zRr9~^cl~<1X>Yfi{(AiMw6`>4{~7PL^Gp|M-d`10{yeNskJ~#MDZY^5Py7kQ_@dLl z`X_f)-?L(r=%infd0|fUVm~4nBAyTosStkkTU=*) zILR^dgc7?$O=0^#?IjQHo_gB6i|p%CeT>xLz~p^#BSD81%!N}cY~pc>3}62sX;}gL z+LEmpd!h=-6~5E-PXbKWTz=7lhG9$ROe$QSUq9v7O*M0n4ZfPE>I(QgDYfp(-Z$BK zPeq69Gh51ZUwPc1SZm121#^_?A)=6=W6~?d!HXjR#Am_`Ve**qjf?ySH-HHj2d)KM z#6y`aEpda%uhOQrNkTD$=rblVsir_L`8V=>!%vHfTJCn>>?q}$imfHRXnuqmeO85I zyzu?r(jjUn;WPF{f6Dm=+u@{OU!r3cpGvr4c@c}X8Gzwz`E?8;Pj;UPA|vevQGO`F z4O0w*F0U6YL76)fxPgFO8IPN*7V99qFN? zxJlUsDDp3BAyIBjnKGeUZOx7ShOs*inzTC-w?Tos=jCB}`7@18jtc|OpAPz0gFS9_ z=7oj;UU5a)rFq}ydb5t*1RWXiEl*dmZ& z)~m4TS~A@XWI;eS_27jc(#Ymh5wTo!!Fi)<`+l%z-P*-73SYUcx&B6Gn0q?1&c|#u zk5?%S$itwt7p%}}4P?AsAr7-JBr&w3_vU=X&A6eqSR}T<4bR;Z^!T8MN(GH?h5{cI zp+|Ti5pJK8`*>#e-=?uzDkjf(uN1JT<{RLG>V6$MJk2AMKONa6i+GnH+aqk zVI}Ou60vgvwa8ldJarOdKR;`;lAMHqfR~T)N8K3LY=^d5&h^Q$U$YG%PlQI$L@8rS1p**Fmc55HPzSj ztT|OJ;wgI$h((tmd^XlRFJrT0v@$~++52jipu|YbxJ2pQmAbCImz+$M9C|d}iqaRe zG3zE^xdwRYCp^al0(MFV?KFKdyKnaWuHUkgS`s^aDcO;POZxyt2{*Ex_`!Xp54VX{yh-@%Z>BBDwE(gd>!&hEv={=hiIv=pk7^a z&^6;m01NXW;YNDgz#ko*^?s4>y65yN8G=c%NkmGMke^=7>}(L4b^&YmkULs*gHezl zCJ8q_aaMdFrM782=Z2|J`|%C_D10M{MQN`19Oy-9&XZnLVFA&uGrkyOztnhlj5IN$8aqT)EX6$ph@>$gu@S2{(vFq(7Tzv?O)x(nzsW8ejT= ze~nib@5cNCHxxI^)?H$!_g!xONQk;ZOb!@dMy8o!ppyj z8O`)0zQaE-DO*X0Z%yAeN1S|CWLhD9q~N8+P11$C*wP(NN0i_&WR;PB|nbITK}=IX|EZv&Ke1dUTWSq ztz%GkJ{KYu?mOu`2t2!tl@a+S&8AT^Ze)C8+P=8)o3Kem%<-rmQ>!}EHm$T`NHWzA z%w@eL+%Tn5d>KcGjR?5ltkG2B25`oo+zzzjsv;Y$Xg1I@%VX5x-`Jk{H+IDAPf^1$ zYxza1nZMXrlxxQ7V$#Au~)<#o)1n-6QY*r-U; zBmEd8P0DSDR#qL z@(8$dL5Cl8Ph7s4C9k_H6b?G@fRj!*_A6h$;F0@ouj}{1iW&7$E@OQxjRZI3x~h#M zyCmZq!=JBVr_`&|9qA-1nyas*v8=(Rv6-Mo?hu-LX5o!#X!Fq(_)^} z<{v@XzOi=D5e3C7THTBblhop$#AB+knF=$Q-wu4`3;Xmh2f8|9e3Puou7Ens@zt= zBLq@&a_wS#B1BZR8qY+61|=*?=R_=7 zAJCrjw*Sn+b7Mk##wY1`ng3R^Y0r2~sbRx4x+I)emaYyL#|Hslp1z)`d+WDeO}#w} zO6s>dyc@!zAt*&r1}(PCZuAql0g>`s;KteEk%k+Vg5s?OYh2UJH=KvEB{yZnx=TmL zx=Z)pe&fLI9cPUj#Ki$9UXSITQI7d`{JClIWPIbQ-6BRoWmRTvhWt|~?Yr9qMYng1W!78Wq*j>=%p*8+h3$gt z6_qON`AuQzk3znP#&hJRW@q8j zHl1H6Fec3p+03c!4?6UThwf63C0osNVU#l1wsh^{sfA1K2+Nw(0b5SEF@7i9hzt%g z4706XO7%@O%4NZ6VBj04sJ^*gf6ha6Gj3Gf`qW5m3N#?B)sd8L3;)LVBCa7S%GoH? zBDtQzoaaGYtVgz3TR_1TG3w3i`L2TYJLu;~Rw7X{X$9?Um1UczEKlKC9=9Rc$Zo z>}{I=>iQ@*D(_?Eyoqq5OLg_ty@#I3PHEUvz&F^EF%dVjBh79ChqlK49|Ib#f=Sya z)DriN2UT?@PD0hZO?K82GWw8hd|p>U5eF=a3$xxU|F}NMbk*fH{;JB1b0jrs8=Iact!xcipt z7c~xld+VY-Yi2~j#trlIrIm9hL_EdZYB(pp!AGZ(d7oJdSXEj3;NItBnN$#}7L8$O zCT)Bxe4CFA4)ptkjI-R(YsL-vEJe9Id;J@~3qtPNE%(Vz={X~C!@OC-jr>)RbC^cq z2CBmct3UW5J_o*tJSX>Cyjb*;JPgl{4#Pytu{ghy;;^Ekyu0R+sqX}ggmYt7)Cpu( z07HIP9UwnE0SM6-TBubP)RxOEY7A;zJ`TwuD|1&Gt=fqfd02cwCIvbocFItNi1$RD z4W1VLJdMNz9qApAYNvQT+6iY+xTx?Wbk!vQDsUs0{Og(e6E#xCfpaO<7E>LO-j#@# z0mZP&Ew-}%epQFHKk&rkkLCJ)=-%6h^m%^4pTUaV%PWVrFOh#h18I$`w5whaXT8W@-uR5mb6pVyzuU?-iY^nr8-;?E2s zO2;dm(0JE>{xu3p7~Rh|6mIQgzSyTTq@KV3eo+bhtF%KCLjzzQiP&X=jvQiWQUZ`B zV8Ka#9evw(KXfwzd)zAiZT^RFnw4!9UVjLubdPC;JDw{n_+hlxL5-BNW_9vJ;o{ac-$VP(V_CPu_hqVOKl-Fyz61-Zk!J{7ET=*e1m)r-{3#gpONQT-z=&a&^tz1)$`*C_(Q(J zwHvYfAM;Vf*mu>;H@IP}mFBx<+=wVlio*({v*ID7=T6;3xL&_jdSKuNzo?L+5GTT) z_wV5z#v}V3*fQshCZ@{SEpqnR7x=sekc&S$u*k9_U(r>N)#TqWen)Pqnz$>L7(g~u zGDjYs867;zV*)r_o%!E&LUT8lh4Ba#s|8iJ601kSE(qrHt~9^7YM-a9`0@?0;4f1p zslN!$BXR!Spd6k)+z9AM@0mN4en5wDKY@nA%b454{R5qNv=VOQf5_c%nF%*oPr7C2 zkpwVN@X*a+C7~Sq+BF9s*zV{fkNEUCXMOFf*LLan$hg{`i>8gTl*yWfjT@FU@`SIN zH(9Ch5hLoJ>f7(q7bBk1gd3r1$l{gyVH)7NsGZbN?UatEotVwr_`rYnc-aa4nRtTp zZ2ON`MPk_c!V|TTeQ@e$^EjD*AWi%GRmJVX>QQ>c&qbxPr4yDA!xKpW;yA-cVOY3P z>dCE~H#=ndP=~H`Fm>;SxxD3HlHrjNcXWHEW&qZT2J%ME|@N{YWB4EO41{BM+)2 z+z?OU>QTeWx6pM?LN7)N{f3NGQc|uGMYm`(jJq;B%lJht@By8K7sU$-5j&v=X8h8# zaPy5RmcqH0MZT>-iJ|Heyp&-S-jeTDyV4qJA=9O-=rc2_Wbo+vUBgq?3dv>8DXQ_1 zgeF9n11~D=OnN!&GJIIj7O#L3d0ylh@d=A4k-N+D<*^Y}0R<>W-Rhtm{3?*$j2k{_(&)*Py~d6oH)7<-x)%oYyYIz57j~~b zzIyIKlV6Vemh2ScD0NF+G>x^UKl8_1^xlA%Help`a6&(AGIh?E{oH|rFan=u^V}*MtVdib5EAp!V@Bmj-pjfU?sMIHuh+NMfIC0-yPE;n;m+!SNLd zWyeH*A8`xQhBMi3!3{7qYIB%KD3Fe{7dpiI{w~BM;E0Tbq>v+&3e+!hxvemv#V`&g8vJM$qs49SxU*W4d2 zYb+FR@w;gN8kpwj(hHcIWlU9-H`7*!>cX0z6*m4N3dvq{<31lZ9GFooqaDAi;vBQu zNL!nJD}&55y5|qYY3~-VzAKE}#EVKd*;7b?p>%umxUu*4CM5iQVe`KQ`N^59ZT<2` zJl?*2`v>p2T@{pAt}E8dSSe4zD?^V(T!q1E@&I z?bZAefwf>kGEyX#h5$7uB#X0gik_lAK=ICJLQ|@Yu*6A>MO9K1Mc~H2mOUCS5e-0u zzHmjz*bqy!r-^c`iYmlu*%W~ujt;jGAPZNG-yY{gFt|-{6>eB2v!4(=Dj9D1yIS&Z z+}0_omSYf;e$~@GuIWDT`d&5H z^r^qT&(OKNhf$foRMtr(lG!oKd! zzj5Z5N?&Sy=a^FpHLK$!D}E9QBOG+4%@V86jm?%=%?b!xT%bZGKK$`@tp4TS2PZd+ zh59RtuDTmdEzEW_uXNk<&n23jeZc3np9sO6@~7I0RcCzalXU}oy}f-owMJB!%+}d~ z8&Lqt`;KL5#O>8@uqo1v6A+Q5bMj!@-}_SK<5%H+MAAzBjeHMJE&^a8)N;o&)=(() zpBIFjPttN`GEB!QqsFvSoy{JV?~R_`QT##seBVnZs2i0bB>?K}{m*;!F_*K`)?-KDveAp$xv`fAwH$TjWX37zFiRT~XK;D2bswRL!8`F1ADA-L;VAB_w zU>rDs8xIB5^ebgI1a6S9COZY+VDqZ<&c!6fm{SEyfm*`E<&@*V{SQC-=umAie*6YBOHK1+FJC5ivv!mj@li=G1wV9Q1JQG5;`I$q2~{HV&uiPbjw5E585 z;|8AJ`1*&-tkfzrTBR z0t%VbsU&^|9jh^rOfPy@D|Lxog>6Io0M^F)cOoXU)J0)90fXPoW`_)H{j;Jx% zqaj{~umNaj0}aXzGc1`za#`di%D5U&nX25(%OjnojK#oLmjIIZd`pr*ZYmQ^%!RK& zIbu8ku@R?Jlvst*=f_ovy-FeB*$}?;F>)`)RGE?Dj&W}Ir^|06^HZQBG%A|SNJ%V( zlh(h&jl4@lYvGu2eat5U)-Wj4NFa%W6jWNxfrrGx2Le?Tr1Srr_RJ#Gl&C?Uh+wH- zya;uiJgN5+-!LC(PiZUh4e=BNqemk*H6tiwHUs7duj7J))%)T`(2qlpsyglyhn;`r zfp>I1;@RN`)h<0^?54vfZa;kTPGxrXoBVQ7SLX}zKqK_iEEbJ{-)v2%r=I|!sh2ie z+5X9K?tu}q16-m?tcb97yEc|dd#_#wiS9e7DlWqtp7#9t)td1gV54F z`S0$4cM*Sy?Mhoj*rK_Sny3A*{I)Q0d*~SV-`8X=`<08o79(`)|0#^y9&jxRnKox; z6tjckZu~ytp`=F@BvoYIe6|wg*ZqCuc$)H5|JePzXi4d=mUf&l9!J*T{0Gu)ch5Ke z@1mG59oiqi>BiUId-xYF?eOUR77a%>Fb!*R0gVrKS&=;Ix4gf54fF`z*Cm-=lwT#! zH#Akt^RI*?O!cr++VG2(e3e{GqzJb^6JtbdLSX&zAw|(cg~a&)jW5aRr<@ftb=fd< z?Qr8HLeQIdM8l9L7gxN9KZFohu)~ng+x|X}IXK9lX$BXVcwu7L{@8+LTWR&-6*p|h zVKK!El$hLQc9bc&oCF+EgUDD>td+tXZgi|KO6y~KSOZ0~6X6N3$iCK%?_ycVu-x`9 zUKM;s#Zh_^tfPK?h*Wu%u@0F^rYZt^%>Wv(LNoYAAS3{B1kb$gf#4fueo^O#8+0=K z8|;)PtMQG%4YbOv=jK3TKgu?bJF{>3+`J711{GQtMh9Ym!fV-&!#3e>u_SSd7n>K- zIBEifMCqG{Sn8;{MC!?KFYM#IOVvZ?>rfA258r-XU};Na^hN z3SGw)PCs`a{B$e+m<<$L2)^5t-~-7h(DHP>tfYq5!w(N$+S+Iy80Nrj%dZOKUW$7z z7G^v4lvv4&V=YzM+5P*d;h-n9?AYndj=L^oSg@7v%i>DXSJ(Wc$wo_ee(x>a_fGCT zp51C6-t%9@*&l=_ze3B~x}vh<2OP-ZMu2g~9-s^FN(>@(J6vnB}0GVM0 z!vuMAfsA_cOTuZ+&zK@}e$I3uaDxk!!;mQ?rl~N60SK0jTyZWZ&(Foa!f-IM5Oss` z>U$z7pi_PD900;dVHQ1ETaIEcXmeQuv;lOWD`3r4U;mJtxLErS;o<8*JO(d~a8HV!05?Fv#j4H0tWIvi z4L66w7T*97Wz4}Ri8@Ml%8S#)vSR`6Io~Zdyjtu(ujrDg!cdnoWg4pNc75ie;`I;3 zlq6&(pov;Z%{8%&V-nr^}@I6k_nBd{!Qc zTUUO2KkT;qEu@8*sF(>9ycRh;Vc@Al7FL&R2#*{pt)ODN8ODz^pCrP(tz<|LU)aNxT#hr zZxxfxl0L(bVbr+2=A(%(7l}!jAz<7<3(Ij|v`Nrm#+O=h*{x9znctPkAi@PP2t^1s zjA*U{pph>Vly0MDU_Qbk%A(%grHVy^Z#-3N#kZK>Q4TAl+}$OFBHR%QjPS>{+(b^p zLvm)gx#&H_M^v`QvIMNjOEexVxD}#5I0la71s{N?s;&5jkc}xUaDy_orS9vDO!w6( zFpV9AX2fcmG^+?Is|Qj8OF?1@BqbXPN%)OE4cBngW)|7R$}iM`7RTiMC^xCm=^{W@eJ8t*{}9THJ% zvnA;#>?s;S;0PF=cxKsG_x|akgun<9-5j+t1Xg@Li8SIymDt@!01Yh{%V4O=r28W` zJy3DK+21+%o^IWq?eO~6^{~FZxk(h;Q_@ z%&Qe43(t&Ut?1rW&y8{<>|F;nI6pOESmIR|uI)0R^6uA(IT z&?~L%aJ_UFZZ@JsOPJ3a2V?2bRru6^e$rSh#`SD-5{k z+X{zHXShjGpf?dYu z$!A3td>Kj`5r(Ip58KVEx^8+mOfYlDeW0z)XBhst0nG-dANd(H6J}B}k*4CNM`M&3 zYptHanGIVrICNq%01(re7$wMJ#k2()TB3zU>-pCe4NOU?euyWw`NgeT>@se@PFVtP z8rOcM?2=2D13e7$z|525oHzi?v}3VIhymI1zy*JNEcrLsDG^U0pk=2VUOHdwIH8l2 z^cy{A6i2LAkstzjo(Kvdg#nCv9O6VUIluV{jWI2v(~_QaY@Q8DJn=FeFW(6hH}IZ6 zzV`!J?@fS^|A77U#Zrf{lX`VJ&IFkMgIEkmI70%a$QPnsVDEXtQaNuA9fQ!o~?RWJO`?zgTD^R3&#mSJX3w*QKYq!@3#$213rE zcSPF+z{Os0U6jpy`S-G zN;8pGrS6e%gDuR3<$;>8cpJJ;4mU#Nwb5_1uo_A&jP6m)I!X5Kjse@s8x(R*Oj5a2 zQXIeNL69WPe7`7?%K>%a4e^1tI+b5UJm3J7z+2LbL1Z}7mbAJVXg=(@BL+ON)R;Y| z@(yLI$}TAkrWu;Jxfg32C zFXn|JE*A2X{G!Zr=9^N?!n*;aR7VZl{C{X~%J|bUYxX`2(fn@x|P6ea08l$DADh=X6!EN_+uqwzauqK48!x z`8a?`if55nSzBM(d%PGoR+TodcqscCuzNq&Vh;#NV~GH0mK9?7lbS05S7C!HZvF-x z^2Y@REUe?!5Kxf*nKCg2r$}`8IX&67Hcy1XE*sWTWZmGtZ@#pYNFy!R1vFIK z@JhtPduF_>+`4vg;o?%gubh6P)I5#HH{@B6ed3usqRNuXkGS{wz>Tm|T4VlgwDCg@ zlWiO6cmfyAbK{~WxjYU(jY9%E z#42=kpBTSgPp~PEc}U^-Q)6IK z(-B;WGqM($PW0_-{!ZcCD67TKDOkx>QkDY$KWjjc4J*WbA?#~~4tO?9C`9R!Qv^CG zU4`dBhnNM*!C7i-^0A;Cru7Px<0Cd^AKZ9iFW<=H2IPTkN%z$fH%iKXf;T!7-qBQK zp2=3)JRO_|N#l?DM!#^s6K^9bOqCey&5Xiew;%m>UkXtM9i>?>Ai^0kohSAJh`gr{ zJ1xZU@~;Rmd!OdB^5(7zobhkG^e=@0)(pCEFLJi}MWfFsW}0;wTbM|f`%Zy+%?`}J z^~q4$RG49#PFM_U!n!2ZV%AhEm#zOVZeMr|ox zdUH`d5D_csx|M(Z6X#TMNx3cv``+i`c}ai!LktQIRSNvJwgV1{ z(11!={JpI%=AORz^^OWY7uNr>@XUxOAAfAe>e^r9aIZe%61;`4$Oq62zk+3eQVReb1i=Lt*Px(xS(TMV&rIjMvN zKfJrd**UlXVssUOJ3%+QvP+a7~U161N7z>w*z zLsCiv<#;k?LlmV|@k!ZFRQ@xM8yb-KhB(wmw^PK;K{{4OA{*Y(&f(qV9W5h)CK?Y( zEkQN}4v^A3Znr$!k;g}cTmA$(Rl;VWMouacxC9+;U8}g^F)?Qr|2Xp2d5x@zEXzLc z1~2;|s#GGPB|i+&HG@t?er^h=}M3+`u#}%>6->`3jLaNcrHE z4c~fYebnD*RrjUkdA`vWZWumVUilHX)#m(hXE4d)|H#I@-JAM0&wok$jF7MSh2^s& z3B@uKU%oN;d`p*X{+yNfF*IbuXfn{Qxi^-Ak^`$Ba>gpVq4WweuK^s7;)Xy>uFZ>6 z)#nK>hiN?mE2p*+(yjcXW&axKv?s@@2we>Mq~G|ce$n^BPKjAQo3>_sD}r3qajmBM zf)SJh6}j@xV(0oOl7L`Gzs+16CET!+C`asMUJE<WWv`C;?ErE&nGxZx8hgjSz(c$ zY_L;800uNH+V!2+HwnwGl-E|O6DV@gs_siSXu^%1=vm}?l=udJo$>Cu|1q0q#~w6< z*?l)iq#YgO)Lr#Nq6en=3)ud1kU+hQ`ZwLQ5Ug<8ycy$i7Y&%-Si>oOk|Qb9_@QfZkJiuvRw4HR@(_1 zFw>UnmQ8?=BN(Z##_TW1z|fjL7*b?mP4r{M z4-0k63Sy&3UFD6f{m_er`5zRv{Y%Kmovp&gQG*U``o}Oadd`e;!AAN^{}$C+F1fiF z6<~fI#!mk6wR*O5uP6-PT-g1GSlgxN%vg;`j8gJfy4(7E39k7+qXNl~LT1K%(>?mm zFZvUUJL>pW&m9K|<~t1!LdZ#bSjF<@SKS+M)Ny#f9?$OHusG9qt$Kgw^6-o9Tn;@1 zWZzR8kivZDip*KM=8bL3S1)MzaNSoPH&nkV;YOnCf~_CL4b}P;W;HZ$PUKJzyy6bU zxMG17{w4iKXv|MH_1H``Bv78hj-7@DtLN)87Ap9lTK~~ZRnE*YvwL2`5G)j0k=~iS zQ~tYO2chOuvnu;YOO!Q+$*J;>+G42)fXI&F{6B+^1eFR(aFtwsdx$H(Va4sv0Y}aW zDDrUR&lFFA8%g)2j)8eL;f7meAgi`C{oP1c;Toc~qlb4}{7PvveBdPgzxf6F(H*6L ztTC>aCX`4Fv(#ftQ=T0k6LG+h8(s}_o~siZN02la!!fz9`pd$0G0kSsaKb>3{|b+v zpHn}i0uE^8MK{No~vx+|YM+G>OTjr63sT9d1Z~{a|OvL{;9HK7Q!Dsbc~+ zO7%qzmbUa8E$tMv(B#NYF>&YnXN7Ai`zKru;4m;ltaV=Cys5DF%&2&yB?&YV--u3_ zy)+L)L+x~3mIWdjh4M}eH&lLlE&HMTpMKGRGSM4}G>X?f6oOI}&Xod_3zO>YAR7^? z$jpBk)*dU@ib`b`?v(jrol5H?hzJ85oCo*>bbQ#Pwoe-}qs+IcJB&MyDPm489ji-F zh#6ve!<-qY@^GqWp6YMVZ&*O8#5dd`_-1%2V@nU_Jk+Yr%-@^Gb3MmtIdcqi15H2I z_h@o_J#I2?kPQJl`mI+wf?z}i04qcNJVJ+@c=!xtv&k^(F|p8hMoh1R7Q_@RE8G`L zh>?f~4;62A1@~RLGX~wbt%aRxHUFZ}p+2UAHn(D2ok^eI)A%x94_X%LT#FG~sBy_( z6#CDOj-kl&%r^>)z8BLpT@w#ao0|l{qXPz){IIa;uL}y?A+Z%UG2&@&1W3;PR@1%0 zvIt|&`eI=k;5Ug0V`Yei|{k2oA2G@K<#uqR*ATexx*&p zDnnnA5r^A37gcCuq&tbOig)N>`U5w9Tbrrm@;mOl^NtmBC%(Hg%akvYw3;bRmS3w}2thWGSxgz;jEQMpv96OLj%#D$w)o$W)RLnHmPY1i-(L%-&}NPX=z zJhJCWqTZV@2|iNbB2qcVxILY#Ode0GODbl0oLdf9;(>=9O5 z?>U8s`bVgg?N3VNHX<@u&FD2@5t!V=Z~vXW=6PZo(SMvx-OKqOf*a-?xG`#DD9l0G z)1xB~sIASfn=FLetgsdh{!MfksX!)WY6|Ynb$=5XB7#RO%Gn-zeZMVT=Y5!h1nG?c0ZJR!Y7TLa?@i zvxcF6GH^6N*0aHW&3$rMVxk8Ey{3ixh*L#TbUZT;8XjUAK~X#LgXo+!D`1cz@0H?rHZ_Ls4$c+E2FKm}LlZk1Z=wv(!IcQ{+R?L2#am#>nsgISd-pt{Uh z?5r89p(WR!deqAN8dLDLY&+?!Lhs38p7=#b#al>wnZ{9K8fggZ{%wS!fMkV{=$`~f z+w>MHEwJL zeUwWR<6!KJ+gzBqrEv4Zg?4SLl1RMZOG5!khI$EO{(gbLItwLvnQ++8-8`2^CM6^&XXJ>nBV{1s6tc zDUwWFeRoU{7G=}<+uqLo?Aa4W4tZx6kLb!M%Ohw)rvW{vI3jUgFeP4ZZdu&%u?LPg z@stD4`r=V%og3@Xx72SW+(@H_`5cag??u^-0rNvnNj_wjWMQ$ki0vV*@>~*;(z{U% zPrPJIe8ItsW5>Tb@`bCyHAlPXi}FXfHw8yzy9*f6BXLIq4Lw%x_44a^2NB$eBN;7c zJIGt{6~hg@d*aMk#ttF@ri@>{Ai|QS*T(`?dc@tPMs6mHMB3-?v$C3&ir04ezucphvnqZgl4lSbr*{?&YwBv~55JL{N zm0rmeZhE}}XE>v;bQ-zt9hJQVDyhs9a;-Ca0AI%@|^%!O7%_uyK3Nq54qm_ znZclB7Hwmvg9SzqChWFTUzBafoDx%&8BROv!f~;Pj{;YukfbC_M4N zt!rkFdS&fQE9|_teaQzqR|J4$SRwm066d{kuHLe=@wzK7uDbZ97&0bn6B)fzk`b2i z4G%?k;t(_2^7#zk7~=)sKe~GALuP@O4x#uX=V=l*r&I zrfFQr%RWefTI6%Cmv`}j7h`cWetyOyKQ?8TZUE|yL?D?)P;^M$GPCp@5qi)(OKMJg zGYWa}k@BNjzu?(1RS)-#AfQV|wvBhcg-XFFAQn^n*-dRQYg45{0C$F^@KB#BwU2lV zQbjTj7Wk>K3?fH+ES8sUqbdac~}3DR^SHz65xsfAYH<%bdaQKv}f z@x`)-;+)QW$Fp!Fg5Bs-R6upx|GmjXHRzlw-hUf$RW`V~6W~JM{_G`zU84q z&EVOEWj`pqIHhoBm%>Cj=KryG3XbRX`|$N~3Vo=KOUl^rO_8E*Fj}PhthRMueisHx z2}!9XO}LS<(}Wx8oy{VXn!-VsoB4KO-n#`i5U{riN2*L899?wAtA!EE!i7>{BV*%X z+nn)@1RbfouxH1=K^}Ad^_708<(Xl!7wqzCwT0jX?4uC8^t2xpwv*3N8!EcT%})iJ zrG}*|P+Oyo?ZAT$8PL7s?$tA2T{r9HHPiR3n)>RxneT2}oaM`}q%aQ^M^qMFQa7N- znV&x|(Ab?fWVi@g=NlPi4S9g7m_IB|+8shlh=GRbmO8mnqaMx^gJUtoNBRd8#QPxC zDvG+L*CPY`8UOU;kbov%s3-_hq=WQcLMVaIlaStfNDt|~QxkesEFgA0>am^ochB0Hgq^*206pLL zywB@gbL~vl%&b|nX089a`_1^Lw+%*{?{1#6I)}n{VFapO4H7P3Y%3Z7{UdZ8)_O|= z#UzXsnP6f-84C?F6c_=bc*hA538adV&V1*+V5O166O;@Kd7jHdS?eJi9vvcc4ZNa+rG&GzK@ekw zAOHjgI!6!~=m3o&t?5+>{XvW)y#wEs4wQ>wVYre{#sQH%pt6usDwT@TrAqUDQK}zQ z)h0R_KpVG`PgC_#aNeYUNQ+6(FrE%;#t4TznDSGLqyRaqe6d>`c;UbeihEqk?7vv-+b;jwT=w21LGGBk`LATR(=R!sW5I%pUW!GVmxYUrU> zf7LcV7SO@Hj8$L_iiN49%Po1j0}0fa9njAxuwMUA#{auZ9mqhU;tCw9eai3pl^{iL835W)mKxs!A+ot zvKPljCtZ-Tu8o$g2V4(3jP}r$2?WbAwISL9k>nATlm^G@Uj_TL0i`fw3|JK=l_jBTWODmJ4kkOBP z2jm~mjq%Ef_0E^%!hycKHa^ksaf!jDl*MS|SqhE#8;aFs@Ti%>Cs4QnU#~~Ol_iXk z&-w_Jiw*+8GP&pUU$NvgA9D~W6O&a>6%w`!S1eTjSYKciEm)yKPE|4m3Zv9Wo+{kHfD;Hs?{ku&D+*a&e_4?JQ36HoO5h_Rud)((mlFioB(VXB^hItC{ROM~ zQxSXm9E1qL*dEk{N{Ku!dQ#|8w<0a#xX}E{zZIr?wu@LwDCsETN*FypllhZT&#yw* zz@yos9~jR-5F`X-2~VUwu;c?r*;Z|np0%SkZIhOzr|ssuNaHI(gWLI+odenc!*xzV~%sN%;ld2-(q@NU&1Aw$gxh`Vw z$9m0;szO`MP;D?@qf;HM#ppeuNMW@$r!gg^X81Us0u_PgiyfX0n1XnKwBTQQ54a%n z9o@1$&xAz9Yrn){z^jpNRNZCt7$x)}Jt_T}DyR#{0635k3~BnG)s2A~dcKO@Knx|O zCTAtE61@!pscK_Batq-m5SfB4tc+?}8J)?2dO>v{NK!fVlD`v^BxDys2eik3BK`kRA75e!d%`;E)*(XFDEM0sDZ4$jMt z_Xl&CMclv*tU4jfQ5GP)3j4@JiX60!Xh8m!4f)cDNm4D81JW})+tcp~$w!co-owN^ zjBkyoS>)TDZQf+6J9pAs>EXNb%%nvSK(Q~iDnT1IqKQG&3^2bWY$|V$jsYJs@KtU`Bcx?{&B@+k42?zXE zFUJTO(Y?qJzLR-MkV&SYny~6wAPLi76a?hY;sPZ6I_=G66bcvrhcrov0o9H0DacV3 z`yiG4C>P*mK!YXQqqJGDmjMY$fKAuNL@~yOyjMPJa7hX@lq}SKGmK~PM6Sf>oqL5v zIwdApI}1obE6)OyAS4i)!GP0OJQp{7;DU&t1alVrESAoJn*gyPb(m#nk!X+VKzdC2 zvsxeOJq*YQ!T`%~Mks=vVkOKM*obsO_!K=|AaB7jJ&dUd$|lZI=k9%iJm_MOYLb44 z&S$8D5(+x1A20#g>22nkTD9f(R~dfDv@z0vdL&dAYMKQe;)C|)T-~%x6G`cEA4!S| z#f2V+xO2*dKw3V1oqu`@?s;R2+>U9hx z;gq})ISz_MKstJ!=2a{vE<`l7Lvrv?baShtwF&DbjE@~w1%AQ64Gyq2hXL%?Pc&}v z-T1tZLVB1M%Iv%VZs7h+>Ti|IXM$#?B7^OKY(Q z13zgG*n&LMDP9&bmP>Tjj86c8A<8fLr;KCDp)RpQeGQcx<#CWHqu z0eK>Y80W*j@kI8j^3UZ0&cfP2T5}_pam;~~Uy=ihpp+92%ynC8pCDm>V2gRcA7!+^ru&U7WeWx2x@pij{|gwX5W`%)P*OHpgaq*LVvq{wRio50#TOJ%J= zs(^70a{<-W&TMUokJ)e(^$Icpq@%lXwHK!dWyObJ{Z+UD2?6qeT6QKNLmKV?g()cp zi1~@>DMp)^+1R=T6)?sFkWWH0SIS`JEh_QQctLpuJ$4X2An5&<`HAoWnh;LM&ITcY ztOlwB+g&@93C*jH8yo}s@I<5LgB#M-;O*bCp`@k{Vc%e~>}*&>D0RbtR~;}hGRGD9 zRR~(!^hVu!^`}l8n;92$ASZ5L26_|F!wcwO2S%Vh^5T4!&7RQc_O`Mh@-^kNf*Yq% z9ZG&x77OMVt0DSU7AY@%sY3e4zwV_n=ArpvOmO?+cCKXUgpXz#f+yfPvt}om-@)@@ zy@X$Nz^M}Rjs#LFuiPQ1s2?!oS&BH}7wqB!DcLX0V9LlflpoStbx4j?xhmwB2_?7a zE45L%Td`z_gSw!pG?2f#Z%N1`Q!)2v=qe9i@!27-LNBZF$;*{cD+km_I3c zgIjR@KsY0;jg7c2SkfY`h4fMkkep2?YC1)cWKZXW%7$XM#rt{r=*H#VB6*5mg$PEVZ4gjHqtZw_HuF}WZc&IdhH)Ek+yVWd z9f1jk^w=W-5vc9(zkmX#ZS3%{kev{UwznH@Y}K=8&(~favG~I&o;x-crbh11jysr} z00n~ChVzO!cih!h(xxLEQ*}b7LT|vI;vVw+`wnj}kjVcS4DgEy!T2@ifAx|&W0#Uo zgaFPIa-g5$V%f#dOUw+Gb3nP+VUAnH^`rp6s6$LRg)Bl>QcaJ87!9wbFR?YW%9kR90v-18tG@3RQ1N z8+f&qdSht6yqfftUZGy+QvQ{W()&vP)t@f^s>>>`r?2Q<>5wZcyrP^wR2{Y4{1mCg z{5l-yyZTs`J+C)ObvrM+T!OS?#M1I65oSV|u`J5;)X5BEv1W3q8Al1HWHRcjHV4Qn zm~`|JXn6o!($=qq$%^gHX$)}X})yY)ka=nJt89d72`SEyjn_it^8a3DS+DuYVVN^+s z8q@1@@EA3XoMkS@Jev#nmqJc)0he*H`4;^Y`Oef`9N+`;=3Kcz4(921&6b2{szT#N z^Qk#jPN`-{omW1KTdbav=FPO;`0A&sS7<% zZ@k4vvD!ikjgP_sHW*L^H{L8HA`6GWLM2cb_@HK-I>n4LAO&3>EE2xD4Rj4=vsS{z z!!hAfq;fGcZdrX6WA}Ahls>P_5Dk%%q2Z#nGe_o;omHD5eZOuDX^i%`i=y+r!?qRx zZ43U$*dpQzCnH&Z7iqJ8u$M$F1Z}=7)Q&ov4asrAG;_0vh}XwRDA89Y+grYA5>f>; zK}`R)1Rt{@)V073dW;eT3kZ3b?-+O!NsL)Pb&FV!+o!m!&TGgX%pM>Sp&@U#-5cnD z5H%o7Ky!pcA0jCwUxF5*L*QBP1hli^yt663@wT+O=>8xVyY=tT^}*po2TYkfZuXq% zPY-@l?B$SrBG%yT1cd(-NPOeN#_uj{G=7D{q%{r`Ry#~w>+rt*EB~%>n6%bmBL6x% zOmZ}ztt#h&>gD944IeK{N39Ag z&k|B~G9_G3E~Is0a?df>b3hH;F4tGys0xj<)lbQTs@U+^qlp7r)y;r?Mj1Ii&j;f_XdQyE6yA!aq+7&#pH&Gk1oRw_0|4CQOzTl!+->{ z4RA)`hFS;$PmAN2o$cv&Od&_Ke1ZslDPlbYDS*|Gx0-kDb=&Q?*MXVC$__OIug0!$ zBk@~l81grSavlil5ZNIJ#rzwIs4lz1jEX|UN*;RXS93WR*ecg=#5%&_0=EKrwXsXu zOjDJ}0K~5flt9SaWat&DJ=C^v6?;3aiRXhFG><)6`U)KOfkHEEV{*JqYSGoDG z-5tDkci@U$ew%$Gwgty+3W#?03E$uy;p`i}(Jyj?SBSGugtJlTA1P<`eZ6PsM*S4m zZ14_u_K)1)8M47QQtt8$-QXP}mw5(rit_c|;p;quHh2V2S?k(i!fI{0vxW>)q_VoC zQF;IosQzBkGiXNi4xwCK!27Bm^VEArU4Wd5a&@Cc`E^uC{YXc*XG=6Z%rj^n`cTBA zs8^t4(adrI4qrTo1M0_lXIqAtmpJY*Eg>D`Y#|Tupus^KM-l}KYP8D$s}ycjFEL-E zySX8zct96~G6EqF)?u)kE)oN^v6%KEW><_BNhm63?TvXd0cp3&FBcyjD+WxqoPY2JUh} zN3|v1BFnw4ql6{IoRZmuqqMD!Q%pmGhD~wQ@JhD2F+ITzfI?Pw{g-3C(@de*itH4Z zrOBH#?=p#IOe{xagWwg~4V-FiZH=3VNfnZ)H8En!f=LzM8r85Z;H6_9e;!jM6aGQ? zFhU0^hJt3u{+o!YF&ne9;evrRAi>Af>ySG#;v=yxK%$6;%WE@4p-&G38mdlpAmj(H zuis@H&=2PFY6ntn<(1Vhuv2bS$Pn6D1Z~;=wr0~Nz8e#`6Wfvl>7o16La>3Ch-7JD zQbPLLj4;Y2WkW{zK8eR3Ql6hsnjV#(;D6!7z6;08PVCD(xj*}OS;mQdS;tG$**Q2- zmZ1tM=ipdL+Ogsk3eOzMJGnoLV|CflqP@pTQn}_>iInK&X%trE#~&$7I+Pn*QIHVj zyX~1l{e(6{#Yy|s+u7<3^98ngN$*?fgg^Bq!05ed$2Ox4loByhaNvO2K&jB2_Gplo zFexZvSenxF~~4-jC7oW{%^?Op_F^?s2tgC+%I%QkkI z6nIjUdJqXCCW_?)cNv5MDks$X#PPPhnVx*vgu1Z$U5rUS1Tc;*jSMhDTB4%|FKa_voFm1l z{Cl)C9cYq(tJyK-1DYjyCQLrarGl~y^dJfrT((Sk_0@h8^xzci1HSx( zDn-Q%6i}gDqZ1o)pf_7q?aC5H9pyX@`UE34n0=^swljr*IJ>`WF7JkgtHS9otJPB_^LfXBZk2HL_a>Ljay<} zC4s;Hv+rv&cZp{ue3|)!8lPUY*d}ay@JWQT!xfGY2dIjC4$C}cI*Sp)ArXK;onibj1<-HF-;3!fj!t$oDRdDm9&yXTA8eJSH>%+V1DIh9y=Qwf^&aMc*c14b zp<9Uw@)aP}Dk0Gr%;tkD2QwE}mFuHg{YwqTjaEnd6*uZnG_L2sfF02a9TA;xyRQBE zJT`aslwj|jneoBJ$w4J4!9)uII*N7w8qC$oQp8&RK=vNALKvSMFG>CQ#Qu{9^T3A_ z`*ZZ^pUE-189K@`1vd)!Rum>5DN5lQaN|rx@!`CLeOa+U#F_E}uH?YjL5!otkRMrK zkvhe_Md{It=T2{WX9tNRq)0x?PN>nn#>=YC;a}D57Ui6+US8F!*H|GpQWZA0EJl28 zcu&l|IL3SwBnducaxXT)s^FW6FEg{r=zn+_3_c+YSdP&W(ik<@s89~{IV;y!LJ9{w zMKI@68R7UrvvMIMyzzEz^a5>rsy1bv*7{EKkYwS;N(AeA1}ZA!SEdR-C0P*EbH zC&c_4!xbiJDQ)g{@mJkSfV*Sb_+{FSwqse)W&#nQCt4q&^@Y`{XZ;lbOk(Z6 zG|e<)yUCTw{-eAF@XOa{M>ZSvnON^Y@X!yqW*G z05wROOBg^v2BHhTgCGO8Isw0XG-YV4(4oNHaG)NlJ|)L|;_y#IE^TLnciWy{HAom* z3>faE5_WYUL$|WL`WWW8db!1oYTy|cTz7@kz<9u#Zf%^y;5Xae+x3O#hp$<-AUe=J zJ0XaCF2pb-%1}XqFO&ve|AZbooEuw`7EzECc>eglvqwsVv;ZIAP!x@!&wBN*pg~az z6Q3P0Ia6ME{%9!&r5Q1#fjGH8=lqf4qotYqbK(!>B?#J-Q3*r{wPjcC@^5)T(&n{` z@4Dw+Q9d#TXtU9K>fo>U#@|vQ%}~zo7~ul~*w{l=vUnj_Kw5=Ros=RBBo;3OYY-t^ zkBE$LxNsQ^U6wpG0GOxpK`Y^cV^zX0*7e+oNj$6Ms&Ei^tY6|U3j!$I;CIhuZRCeGXDfFRg$x-8 zmU4#PWY1^XLNBqPU^bz`Xxl}5d!80>SP+RNK)UNk%{^22CiFgu7bS45fkYaZu}cJ89{<=*PJp#zAyyb?fU*w`kdR{>ahyIHX9`rCKKp$JNFcWA0hytjKgJy609!}M6mWTwh1YQ$s$xG zic|?ag8eX%7Lzn|4vlWW_M9KmSC_yi6;@HAfJONk9rFsFs=j~Kruo-!2?2X>?jltbk8Ld z3Jy&DffuAe#CNSzEw&FS?dmir8spF8R226SX?G+2AJ1zS# z%6oH=_pZKo04pNC^S4@#)#42l7*V-8OmeSPxu$eDcuwWBWm&{n>kT=*Waz;a-lpJvP+) zr4hpgrExt|oo1ysY7^-&_2@1&p4I1|Z{^^+z}&dePKAP0`mDz*kjv1=F%D6|FnS?E zFeYRP!#fgAA29_6T3n!7NR#9RD@hLiWt`)-jAWP)&=Aas>`*{plRyk2R`XS%THrC6 z*Z2>T#gDaniNr-E%B6yq&;Z(x zP=%-4Nr9?r!$lVcL`g@{KH%>LgGN*zFl4^BgiXVvN*R;Lr^Nr|D{;Yl<{gO)K;UAg zq?okXkfIO-j2HJmq{W@r$g*^kJ;O^YF9$={W3Y+b8Srj6Kq<#P`dsa*J^WVRW4?ru zm#~w79+*IaHcFCy)V#_B8Bh{IN+~H5&y&z7Ws*D^(gh4u#D@B&#$ZFBjdQM@4Gr(+ zSS?#O>!RCL+tdLKQwRK-E7ULGxb|}WN_ClP62E^}h17$qFQ^MOIC@^4L2oqbIr!!- zJ==G>w?q3oA9(PAM<40YrE~jkT|3`<_Z@fLcH`?WJr@`5TOq_o)^Q}9$U0zHO45#q zOoJ&evmS!sTt(4G$4bC~{aMkN%bqPSJW`sqZq?!;1N+UKJhmt^?qku*WE{-i!&xW| zUCJT9!oQTJ=Ojl=8vACGE|18hV>ZeAUUf$)GJ{(z=#A^{`Rl8YyO@1YAc!Uydqg^PKR`Un~jEe-n$ z*dKs}84-ft;t_4m4slOw*jVEBLj#}%X8a?Tbc~Qm$^^8t!B7x3lUYx{v8$G_G}H1i ztL%iilPU+}K(cwZ&n^#)i{2 zIZWN!aO&ndQ@7Ng>QZmombx>xH(DNCC-7*)^j{pZ|7@83N1g0H>u3L2FZ<8B*?%_3 z{zDbY@7^El?ks4yBBb8j-F2sLYcSW{!6l<%!exi-9~)-=UMK1M20L@>E%dEBV@HGO zTk32~ZkY6)!y^BNR37zd{hXQ={?Rb`^E!(I>rdS*Eu6Zg!PL#FZB;FtzO|lfQQfp( z8f5=j)eJi={2z6y@Xvbb-`4fm-(X|1!;0{R^V}U~>}WW9hr`UB((_YY_yp}{ZJX;B zlU;E>W8aCCl8Tg)Bl@o#Bo`jsTg(Cb(ainFa}J%#Ja9ZQ|3FMuadP3ItOF;vgr|0% zwS(RpvC=y*wJ7`G$)x-Pu~|ilc?VKT%2ic+i^`Mo_wOw_oKkdn>XzV!Gj=rg+UJn@ zyS=acKdKL{jzb1p=AZS`|5Y#gqiK~#$>LCtQ3UHl^Qh0lVJwzWYf5DSzLksz453&ao@)B%vBB(xuc zD?m)KD)O?Jv7JJ%Cn?^-PDqk3%sJq&tZvXDC{2htLvohrPepnOn^sAjIP@Og)Vrjf zcYY(U{Y~6U8hYe5@hPd}m3PDL!n$r{H@FwqcQ0+`ULvR5OPjkF*K;ko!L6X~uHqY9 z3+lTTH*+m);#$6y+Ex60AR6iy6%3W?XPRX;1>bsU$ ztB|`2>$_DoLn?GHyJ2_H6)kitwrqx-7XA-BQ{Hg zMJ%>Uw_DrAzco%F-$q8qDYrrg{Zr~SP{J+hl(h<7i|e=->m6HIcUOtMulzr-4{MG? zHALNt8oCv?a4W34EVLf9H^e!SD46&Vw`zUo*Syf{t2T;7!v79^M^ElhM|gJTnkW!Y zY16lBcib&!v5TV;tebF`-uoqyhzORhHEFJmzf{$VA_6DS z=aw>R1OUSrUMVoA1;{&DKJXN`x_h6w^((kAJp*df@-f9zbo1yA$$5_(ZrcAvvn! z1`N(;+B|QG0nUa31*sr{0_HV#BZxy$-VqHC-xJIsNW4gJ4`O`~_nwf9M8R!!hj^@T zF9x5sSb*x?jJNn;kPSSM=ozF2u(LI3hK5eifa`^#Fi4I+HQ%FR zygG8WXquF|mJ48Ez$hf5D+2`(rfd)zsgjDYd=7@A_}#nbi|s4m;}|J|FZ<>wmQ`Hy z|84KObI_AdJoMlL*L>$cH~f7)Fla#kJ8rfuGynS@^nY1RWP%&LM2rLsl7lsxNv9Mv z2uAR1Rq{g8Md7UmV}djTA|Kp<1|Yt~wk%P+qD(=J=#r)-e<3pw!v6X;W-jP~eG~u{ z^|7q)-~jq%BF_-0$IeD0iGzwkHL?p}LA`~rDu0Rz9Qo!@C?I20X&ShIj3-#*^B`<0 z0uE}cf<-c83*RV5IYRImeDr`0=o5TEVA*WpjGcB~^{G*>y^xijx^w4_tG?r(3;+He zD9+0$t2jDf&>+))^IQM@?f!4qh>sP9ln4oh~8m64L_UHUi@vz88LU47J>~qp=b#JLPVf-Hhop- zB|a;GWw4q+T*He9GE}|}f*QC~tUAZ7mN(**0E<5TF-I9OK~faKt>Ecz%ix^)Nw$bC z15+06C8_{g9t>bGR=KXNVZ#OwK6w8#PY-$Yk)Ew@w7#6ZKjzI7N6Ys_M-Lr5sBgc= zZ@cvtTSK>Q-MaVQ(|Op_Lwfgm?53MqTWwK`7A@|(_nx+Qwc%{%P8~aT=uqEILOQEe z5Y4DTedwQI&kcK|XZOa98d$xmaifO!ckMESHb36Gm5o>9#+J>S_Im8mC;LCq{o#l2 z?b_8;BlavW+xD)z?(cG+Lyc4Fxs=~=`)&Q6=sV=;!5unuusW^l)vMR3Q>SN!48p{; zRZH8!5Ujq5UbxP2)#We0T)ybTrcJDbg5f(p{7|>2hdkA@NB6E>x-@BQX=zZ$!PNH7 zHeI`Rp}X3*Z{O{~2XDElwL^`z)~Vyrsl(k*4}bdcKD}GFvOXT_)ve1HJ}O?!EW+?JMKe&YV8I#btB5cGgG2yg5^k9X)dC;>9m7Up{}T;_mkCENW}js+FgQ z`{`qcm@F2j_?Ld@{aDZN5dSaqEiN|po=$gLT*jmt_0}7C1$mb*U%vF|`GxalwQSMc z;+k6jeP-xj-eue7^(}9(Oq5x_UcGnTe)GifV;4XF^z!E)7Z(){8*Di~TQqIFWs6I3 zanZBS49&~Uttc-aJ$iIa+<0#I(1T?KUtIe9(@#HL@3`UyYwhgO{o#y^jLTnKy7kJz3M1FS47Z*Rfc=7YdV4p{ObgMaRHaadlclONu1@jW(ImW2t zokT^8i6b)7*iWZ_+qs7}W~JC;q0e5tlJ7ADdzqcu+b zUdRdBZ(=2L6SxodW zTyHSvxc04Q&mIgUzS`0Svs053P98r#cg}}3s{uC-AKZWX^eLycYr;Z9zWCzOyg4%) zH?myym~lBd*H7JFGpUJtYPPw5|0goiQcs>ZzVO2t8GGX{oIkT@;li4ib?efBv07YMxO(}L zQzwpJ{_K3Gdo01>rkidG3=H__(&a8)tpr*GX_qZs%#8N;^IfrY(Tu5+nl`l@a*sXI zGch6lv(G+_i3(4QiC(gBVedz}*RNN%W_#?E4Cwy^PuaYE>kT!?m9}l$mX(!#dj90< z70XMDijGv2KmW`?i>jJ7Zn$gLu5)Kkr>7=={>izmE*o#Y#d3^6H5BBeUHa;)sK~Ir z3GpkJE*$XWlNR;x?_-ZXQe0AU>Eh>GogMvsc7Jv8qd-4TQ(Y^slN1;E(b-d*H*MU# zbMxn)ed@A)O-&%`{b$qmx#zU*_rK%gVjjBR ziskb&Pd!n7_|PxE{PNNH^Bg=pgM))=HmO&iKE;O)^X)kGSdRw_3i1*Y6CS+3 zi^Y{a9`2T(pBEP!gYjFJF83Zjbf7Rl|IRxrJ;*ArZrr%hg1NJQ_~D0RM=D6zS6O`3 zf9uq5*rt8UPWOpLJ)Ut)D78!rZu}uDGB%3L7id1n5~di2(=5vQ&a~; z0s;!$$`HaRghazjRBbe{6CAbRqhjT5KPPP87qy*PTFiNE#%9r{%y-oiKdWlzRUbXk zukWdoCo35G5W~+6f4clYF{|**nKOZ}GpA3jbzEa{8UH^2%%EdO zE8N^%?`(5>P+$P8hIQNs^#AhGC(D;Fzo~UAi%Ph0+vW`r_Kq8z=gpn_{`m1NTj-JK zs$DmH=)nA(Oe#-KN;-4$=(pc|y=?LPn_63!9#p&Uio>S{J_)StaM@TBH}3Cx-=zzu zg982Ax4R46;Ei~%D{f}7ZQKal`0C5c=g*%jEG!uP)>}21lzTh1&&$jG=IgIhQ&J8d zJn-Fj-|gDD-J%};MHE(CQ~;B*Z0Vwn>z$5Plmjnq?y#g@ZoIi=LSh2c+>*r$9Ua$v zb?Fm7AFtLm7~#$x?~V!!{^skiK-Bl&A7Arv_DgQPyF>ps$3F7LI0xlZiM{~15RyYt z9lvWaA8V7>3abL^L2O}sI^J6)f)2DB%uu4;V#U2FLG#GhmiS3@3*-QQ7qMY99eXnz zyH*5=I|-tgJMIyAi>(dI3fL~97(p*7enbgKVO2a1$|*ePNr8d>2uBsa!$N@&^NJ-4jvXmKajXK8J}fw(cTX$o zr&nGWo|Bz%@zalv9^U`yN2l{j_w|2rKuty!Ipl}4rj;K$aJ1sk;X?Z6 zn9*;)S&)}|=FFK3=T4nIadiH?4{y2onpc@=A51t>e(2bd!=)v~@4q*?<~!bT+bv5M z%|mc;^7yfnCy#}P1r6$NC9dhI9q<`(3w-GL67Sov0(a+ z9XsZ@a%oM(zNd4i^8Ll`JGZsD{npK!oOvVO%i=AXH*M_Z>Iw_9cJ+#iiV9D6*Lyp* zx44FXmn>Nf=0kNLp~}n8>(}Sen%C&KQTW4mUmdSFboR^{P-y)4aWyZa1kf%m5xxoO zS!rqMv(F5nyDYApIDX98vuEPt;_~xy6B6QEwXo!WEv~ot_u<~X7H-}$+j-kfUAl{p zJf8RpHwadvAaEehsA@h_1^}K zv}eYOulM?7t^aEpsR3+l_x;JwqyI&K9AWX4;t66GydH-LIQo9H*V1}2F^N99$-nL-= zyjNd)wMmmkZ;gC$(ZU5al^qBoUU}hJXXlM;R~{z^AyoxxvB=9?*C8>{+v>e{f&tyDhF!|BfH`p1+@;i;K&{Js-Au0SLB#U)jl{ z<(oD-dwO_`8adMHWvcLvSDy3s+`VJR_I~~QS-tGNciwbzS_{=N`puE+*R5;Y=2okh z*(yZbJ$1&EOnKiMc*!Z~P|O!Tvm5q`AqTeNggeXm zQ{v=+8|Z_g&qxd(A}HEX#iEIx=PsEdSNKU%iC3qIviP6ve{~NaKuC%W|6t(oWtV+l^-F9@Ao*7E#Q$)JESVE7&gTQ&&$t2yP zwS**!{Y+aEAwr(j!P+vP>aF|d_?pcp?R0%g=RR9g4J$@5oD!3R@ zj}c)TWeOp4;a1Se;);R>7HugMKCZI0ZpbZhCPk+S&ClE?ItRh(r>qs>B>WCWXtw1R zQaAZnvC!C=rcGES{=Bx#JGm08bxi0<78ywx1Y-5*e2QQoDO^K^>j6SkX+)K2aQz?Gp&k^l z9_s3^jS$rmQ@!hN$=~~R>NdFP*4umcdAwVX9`zAFqDV#2z!Wp2qa0wdNxU!o*RbLs zRv76v2pWb-7*QQy9}pNK9j(RI%OtV6P{EKqkrXhHFo~Aw-VN%_K4qLWQi0)%JcZ(hR^5SqEGgdRSg${7NxKC4MsS zh3#v~7h=Z+D%#mvwGlfs5=*TP5rNN|D6#%xL!ts9gnX53jQ{Na^uYf!Jy2)ltcNEp z?f=f?JG(uqOBD6EqlT12E!K!kmjz0gO3X6Jb%KBtCZ+clRP1cLHC$B`p%-IC?uvMas@Uo`5FcI?yGnPXei12K99aBoTu}Y4 zn#X99X*T7?^~S+n)i+@Ec{gDoVpRz`6q>f9Sh{513X08mJ?YIUppQxn11ch^}Kx=77KfdSg|97J5W@ z2kf|@^YMw>U94?O)kwdHre>m(=3SyK@e&s~I~xoLa~#u6;`tyasiB*`;#OE2j88xq z6hH`gVOVf;3;kGoZ?)L9BI70Md&D{6l7J&FwzEO%V7U?dkmy6Z)H9wHt{=1l(Aj_5TWtHe*ze20^m<#_S8E{CJpEAS4&-h4xRW z>_U0}(b%iMo$(;!Ks`wPTm4GoSe@cB)rZn@y;oIv4jF_?w5cxQ?rpIO7&TZukoT&r zM^$L`G77n@dLygfSiJ^S&aZUQl{Kpxtd^^Xa;jzOCi3Sp-<#s|7tCk?ZnT-SBIc;^Apd4xPh)l>< z9XI5&>L0pR+@R;xjIi?yP~&-rp&B6y(Hg5yS##v5avAEjcP3rd&mt3s)5M97Thyno z8a)3IaG-M%J|-8Bw)=oYwITr=p1;IQjyP_L{Zu66)hCpyp?W>)^{bcX|0yx{-S!D? z^_%)Fe^4rJ$EFxJ*yq@Oank;ceeuJIzgmwGje7pz&X-bFpM(Du`5 zm^v$i!UIFL&vy$DQ+mjlWHi!g? zwZ)>3W`sgUc(a>qO?Qz4lW28UrbN|{1=R36U)rWgq>YR3#r)c~*%dxtC}&_&y_wcuk~=xGU29(i2b7$dxr2zBie8`N`mH?iL! zk}8Sn;IIh$!@|vWeYio~SCZM;+VO(H$RB%nGDTwB4{pGB8>7o&Eb-mxOj}i{^1a_e z>6wt~EDX>Je$-0;qm}%piulR-j|pNdV86CJKqd}?>s#Au^-+}9*U$zK(dh9PP46t0 zK+{a=JJw$1BZ+D^Y_?KVGiQ>xzt!~!p zJ7^8+Y7OeifqFDn6OHPN{XYUF)L!coCD8*^N>u~&dB>yG?8CG#;VYY6K`*JUQ*(_` z2QsU@fD|F8#G_%MzrZiOVZT4sS>kfj8;Eph4-H8B&J=!1(&iGF0{y}!YrAKT1MxGF z7H0mUfgx%Z(;jZ;EoxnEj0+Ut@H$e7ICnb)9BJs8EBV~5K6hKX$umza zjMu;V1McNz)vh<*l=*uTzltWWO*eITPy+d?xT7>oAqKzf(m6i~Aveoaa)q!l+laP- z$tZHgshf0@OWQ_ZIJV$KMn+dYy{b@E&QnP3gexnW4rCXbGbDN#W+ApV9NzJNN2@~O zq{W`v1J;e=EbpeNXpMTQ0!0aY+x-s(kaC8?t2QuDt&>$})x7ezUa>(yD z7ivwLYtwgVTa&fzi4Gpwg5FZeuM(&YPhtDHnN(K*m}3OqgLEkCZcYV1riGfqPD7*8&ezUCqS1 zhPH_OYeY^%K7$+G6EAA(63nYo)o{{r1Ned_xtfhwJ*?d%VQK%M>De(_!7pMLw?0v1 z+E*XOhiFAac!SZ9G{pL7S7V-5$?(ueJHH$&a3j+wlNzxJg;4LpG5u*4m{1sT8f}z` zX!%!fH%RhL1XlEhf+^Jo9s%$9_zO0>0XZ0gJA@cKom*(0bDDPd{gU>yO-HRw2d$1t zR*<&$YOQVa$XC`5EhZbW;6~1IXn#KuIv(!+z>KLAH>_C_>f!9?wkc@mD*x@Pf_JV8 z*s;oc(_+t!^Vcq%Jh*?aHa9n~-@K*P_r>}XoEpNIr~YW3fK{Dl^q$_Ov{`kgx`3BW z{I>Cq^m z&Yn1`@1qYiudCI)qn!*Y@($Fi>rltU%t5=rFFPbYI|ulysDC-7&dQrMyRq4kgQArT4H5ZYZX{bFyuEa^*e3*UYRVU8N#%Ki~}fAZEfUBMP%W9KoUD5BYk>w zEw&%F>bc5ta08Pw@;9MW;x*q|B6l$x8?k@%xI7NIG@~DFZO~2|D0H#%qWlez#VHAP8l|IQ0tp- zYWmnS^$-uje--~KQY_V13MGs_)VoVsp8Ka(K&0-&+L#sfyANvJy5%!Z^;}ZeN7|+6_fPHBp926x7748j<_FA{cXH&qA)r)6O8u&yXJp_S6 zpT50Uu2|@@V#eA<)7C7Uy3=X?&P}VA&Yik&*5sA*r|n!j-^Fpk7N^B4=1o~N=YxK| zA8GjD<4vIR$FDIh^l_NBSyFn@^U|6B5(*7k3qvN}MY5;qh@o&p#(@4W8OPkp#EJtg z1H4MpmLlaKugbg2L@jG)!#o2vLCiR*Sr24Noq`*`izls|Sek3zwP`azD zc1!y!bjocXfR)g4f6`{`l$a+;U(0~u>(rc;*}pq{=&Ie)uJ)Jc`?40eUqj$P&m*TQ z_{ju-lMCazHzpcNC0Jt`R=sWVWho@pO2_^#f-iVaXxH3tP4nHYKCpUWi{{PuM*C%?B@@|i;=3c0 zLU!gQ29%_Pl%@a7Vk2rhYG)oa1GsR-LJS4ct)w(q`l;i+vn=4Zo}1-6Mt%^>kj89_gMF>kBwg zGtiV7;hG)oUY?&+m=u^E?wTFt#&1EQe|~akwCB3C&}~^!?&%S(v3{G^E}4x3FYzi@ zEt;7cx;@TkeR_mjeu95;(AHS*^$C8?B-_o1a7_!_o*d|s6tL-FUgGApOYgn!UI!LX zXa+K#pb~}lfMg{X%*F(yXAS#3XF`C0i2|cStrSdc1t2ob|IqgSEExd^z*V=741Q#o zkgqVvh(^im*3|Y2!smwSCN_Bb&ob{6bST`QTadkjTGFrtk&fQ~xJ}6e^d$Z2n>tGLw#KY(%4rnJ6kOK(v$j?zVwrqRP z6OTXUw0K5pxH~|R9qk5CWJY^}51Em!DIwdkqj#64hVi(J@SQxJ$CajrmZpU>_tV05 zWJc^v2?7V4b7H&`{5MaVFlOwi5y7sG>0vuEXk@f!a^U6|uXPE28n9D~+!d ziUJynvq=6)RCOaJYjuhBJxF*H7#0MiMB8I7Ka94ej?KPDG%?pz+k;;3S0OVDR)sD? z(t?Nn(08t!rPiS_cY5=ncEL0cvIcX(IU8)i4_1 z9tt2uQu09&u_CUiRT^x+Thv*&MLeHjtOdxQ6- zhLoj-?8^u*Nc4l=*q0HR73G$-$Fm^b7gi-FdN+)N01F@!>s6TO&oPuhL4qH5`R`i) z@^eF*-_aiNY#k!s(sk8$W(tu!pM0%tyY}NozYarGk{Zf$b7LT2ybBWp^aC%bj@%fJ zyf`0#f`{_x%spQD@jg5egh>wEw3j_-v%b5eg=|d;-U80-c3$eUZI%A73ngG(wC9E> z&yCSu8x#FEfh|#9&XJxQ68)X`25xrUw6god51IzQEu=nv<%VHI{fI`07K^vRR zvV8*c544a$N44!4lB#L;c44cPN{E}T8#jiH)1ppl5fx(nB2({I(L~qNBdFZkQQI14 z4>y>D?6d)twxf75-szQ@TG)BP4fOa7%U5Oz&i`E-Y6T-fr?g6m@+v2${9B13P&*kv z3vo-A{*4&Q;bE4(ooyhrcImVD8CD*4uc!addQ6Z z84)GmMp`Hf{l2uY;^d(6g56pnfvz_mX;v@nrJOM6Pw!b;O4Xf|&l^ir%RH7khYU-q0m z9?&iM34Ub7T{(YhOC+mEBuKr4$Or}24Yv&F*E`nVWqWK)-c96fZE>KiUutAxz{z@ns12&az8$Hpw$&_Gf|L#M5M$c3jl!ht z&Z|N^uD@>F82Gx0)Ge4}q5p#MDg41S#YwwCx7Dv5H~tXiUF1j7MYWO*H@7t{aF?{v ze8=2hv=sqby9aB&==GPhXr=8e7D8Grk#?X1$}sE*>*n=8%j%sEKP9-~w+}lLtLLTA zDsG_AiT+q}WW2vd%lSpryY|z2>9vn}1qNfx4oyM$tq8sq?ptE!L#&hjh>kD}y2okI!Dg49V4B2zYS zQI;M7&%%vh#eu9n`!gboQ-T4F{aJg;QbT!*BgLtpAA$}ZaUgrozRai+uBXlz&yuu= zgSoMYL0)=xh(I*c9K-Kk!yC7{#d*b?>=^IF)Yx&Zfh`~DFIGSS$MxB*^eOr;HcO;{ zL{*B$7E2zy!=N$naYMv`et%uOC^!gMYG;GRz;FxeP0(K8f@)ck`29ufzHlB{=phnF zbh09MwY{NmCyo%d5ULU&2)8xt4>A7$I{x|wNkhP*NlsemDX}lbtP~||G@%A6?N4~b zb>jvU+WYIYtvTXZOd_YWuj|a*BHnXsZSK>yCD@}kn4zfeH^d5Z*192c^DYxjD0^VJ zHu&vY@3`g*Fbu#>gRh{VLy@K&8}bcG_&4zz6CCm1zE$CdZPA1UZUAnymOdmN0^|z$ zVT=Eztqi-GrtRLm@^C@&nZt$0%CcnU#(D2c4}~i!N(nbx@JhE}aNteAr! zMcoL_a3jdTErL11o`_tOfAtc7XagQsni70CKLG{`!E9c<&?lLZZd@+}Mrs&R-2FNx z2m~bsK=2eL`jw`Jlo#wRNeSf^>f~M6i}wccIKZbkB@E0dNDB1Yy5`o_HwbM{%qvYB z^ZN6N0hW#c8UqIfeZp@tQ5&1c2(qff0xp0rnI^W!`RaRU?ZE z<7a1qB!6EOC2~tU8#UuZFRETB4Wt|-N%=3wlx-6 zsA=3vE&Z!Hv%n3VzZ1D=QZ2YaGqCot!^f%mA=r?tiqM-Zz&~kAy|u>IVz{Czsr46L ziDWtk$x#zG_&{`;_aPHzqJR6QaKpDu>vPq(L3`LCP+4~fuABa)mj1o=-21gQZRnsU z62rZYm8PFMn0Io&PH)6`qC?T=U_@C)WJzimvrPDujEJ;wS7x6vyI~3xZXk{j{sLY= zl(ULefq0OK4|E{D7})nwt^dddt0J1bzgnwbXYKq6C~!H&H9B=6x9}95+5tcWH-wC- ztPsI0xIwEbiuQsVnUU_`8`mQ;Edd9T0}o_HBNaK29tv+F4^0XHF(@xh3q4wz4voQs z6wpDRlx4`j=vIpMhEN-i&W!f@V9aZpLu0M+jeYv`@pf5`tTiigSJB>J-{_!cH*9V6 zz@x(bMSmupY_KZ0hDBeL^zn_Gi2FP`6l{R;aYMdA?lyeiz!}8sNX+bLH`;U-Ax6|k zl93HXs?Q;bH2&6nas5DmfP>otPtju7C&H0qV1pEJLYil^knxH2FWF#O#|bDT658w1 z8sM>l+y)9QQ_B|bWzvPa>DPH*@y z4Vk&jZYDmz9IJ`12{W@ot4LNv$$721cp#L|-0kb!-z zTc78}q?t?*OZ-LNPX1ZaxFDobi>X3U>imoJhH4djO4irfBuA~qEmCkbxWW34)vNst z11%&@(Vq&a(jgcmUl)Sfe~9_)o%adJLFX%!P`$)49mOvsCFVdZF8__qOmAF^T$Cn( z8(113pz}T`ix&m}M0>Eib!>lUu-EpA!X)Vbv*m>+_U9Du4PmP8&qPWf#v2F?_+_G% z=O;pYm~kV)tWSX|sGRtM9*RNGaYHmCszlKzDUqI&Cyb>n-5>1{?zM?W=#&Ps6hRvu z8O$7tfDif9sYmcQw!Z~8h}T;1gZAbu4Levi`?!G{ zTGS^d#L=~GH{Kz>|HZl^Sm-&i)4Tc&*^XFuT*W6)b<({r&yXd9aiVsh!+;Suf<^vU z|L|+O(oAn0xCT8eph0Zv_nkxonDK>%hg!dvY9VelZQL8rWhVq5DNHKQi$7aYczjArh9ZC*JC(S$-61sZ?@mnrDbZxk`9V1-C%h0{TfQ3CydwguT{0#rl*x3I&B zfCd~K%8fmkDGDILiUi;NSyB7a!xeNuct9zkv22C!ngZrrH;et28t|De4v&P*5C!VNVa8S|hk3anbU zE{}`lEdpnnjT<{Y5m&RpUABKvdu+G{#np4D1|e~k%Bk*8nF!fh6F2w}2%U&bdrcd$ z6mD)O0jM#i#a`FWrf>r{vEI+yyvjl@ibOQzkl+l0I2?r6$4NFZyIX@sP1diRf4Crt zc?Z35uA=bN!F=IL;(ZQgMFSf9(!-d5CFxP|{w}_*&fC_`UpRZR)3Vv_8y7`+ZOqYa zE#MB66apea=D2RZK@G;lSM&p?up8g*v~Z9AHr9O|6Lh}DOj_u~hR*WH^=8CCycvi! zSBTr%?Q7?*TQ+;uqFJjK&)T(aeyp!^VM=ImiYR1x?xEax6eS|<)R`T4E(97pQOBGB zEKhhTp;9VMO@Izig%`|>(oIl==JAR3S+8UoI+B=iLjg;2l*bxxuZQ0Kz@hs<3C(AO zItM^VRJ7Dr>++b^>0zzYL)vY3%Y27hWGXR8Wbz_D{aG};_$J$uQBdBsmo{gcg!w{> z0_}mI71~>5ebpzG?axV++_)mQd$gAYMm_gXiCp#gfB#9%dnA3e?~SF^!CzVLy=(f~OCN0luGZ^Cm}ARQ#Y}Hp zlik!2@=?tUy@_F`yF$VvZ$3iAv6* zlAKUXhaf|d&_!kwi0}0@|2K4m@9!okpAw8W7K|t6zM6c&B!Ew7jGJ4Q)EK z?AWEneLY*>(e~aBcfB+6d7rH-vtqoV91iEji%wQp7*E=8q$m{yYnG^P#ifO_Jep%* z1Z>f15>dhMnlu&LHh!Tu68ttK1#VU}hCy#+gzumvBhuA7)c2+3>zY2)M<@sAIhz()gfiqTB+tln9;L_pCfDSqMMN9csi@l)j%GXv$i=D|l4ts9J&ZP181Mjcj`#RIeB30<0Ivyjg?;*FglirohAazncOo zOxVv@jRmK0!}qG?8}uPzBE~sNY=MkR0*}3)v%gf42Vugyqp}i0j+Uf@8x;kK;0B^m zVl!?;bJAgr_|A zCk8u!J3!Ls+9EH>TSOoWw|p&84;x;6o-)z+Iv5k))usBlxZ;>;0)8%+VpNMUZQLh)v~DfvcjtT&+X z;bh$^2E(DPNm}#;88bz{N#H>Oo2-g5t&S9XY+Nr_XT-IqXztn#{k zR@fFXLB9ez^eN0_mvUV+szZ$@MjFw+TZRoCsNK{aRfTCsu8H79#VjtzC3fxtFYzv4 z9VM0$SS*;Dy+7uyq(~nz!_c`8g&X`L(~y$nz+t7!Zqs$9SA`pY>V(SoLehXm_+f2Gta>A$FNG-U z8>^xt+QAK8cCt?ARNZgm2wT3KpL9h1UZSzpx($9?^45tk8viPwA6~2G)Y`z$px@;D!#if*W}HpSY^nO1E{?R{3d}-${%PI*PAA8gWGHNTi_< zq^kc2jFScI{(e`yO%-ljh5ZH&usJ`Qh+PI@6M9svhH%eBz-4zE@yx*FaF0W|F(8Ic zZzLJGkrcdR_OuCd_atX6>?4iPVGwwk!ivj6SBLtF%9NA@n5zx?;L9isC(20Fnpb7{ktcplz0~K^|EMn3`f1N9V z-q?WGTSoYH9Ox8ofEXFj9+kS4&`7^=>o(ukZ>aXdBryP}KHZ?(*^N2N0cV+sQc?*W ztkI(unAMARKg~6tBsvFf1&AXs9#hY?KnYc7oU-`WfRQUMfD`$bz@w;62*37?tklHa z!h?@o()wn)enVvdsbnCBuxK;DW5#wzZ z%H+#h-cOPVLTQR^)`I!qDtR3>dZ~)RfE7IvMQYt9ZWQBcVi-oA*CuYzIy_){d5YHm z)oQq**T!PxNQIrN>NE2xS7-MHZX|qeBFq%oD{?Rq%+h)csjAA_(akrtnmcX$p`4fl znURO{MJ`%Vkc4vzcIK!oS1+6)pAFOBm`bM-gB#uRg@l{HRTD=H%&G|x;S|?!&W;<~ zcAhf+t?XEzVi9SgNcC4sJTq5c=!N!BObSGW+I2jUaxos$=?(5h);eX}n=S9^B$f=| zt)lVha1Kyt2A$D%6-t;L%&W$&CgxOgXR#r(uoqVvt zVS=M+@&*SCn3jSI$jd4 zDt}UbX<|SoYbD{L5wEEZch`Cl{RMITT(5N~1kq88Jz5Xx#9~`&tyH4w`v;BHvNXTC2@@s`!6u_I3$fv2DG&w2#`o z0lx#$91ualjnfqwZyzs%!jT&rASJ(%i+H74m(1wW@Jnx*8u?$V$P;Sn7SV7gtn%Jf z&MUZc$6I%9SjquqK8ioov^|=R6`8iCn!rZpDJa2=MmS+l!~p))N(8K}?dunJ?b1>4 z>_`QmlMTwdTdURPjbbpvpa^}{ATj2Im6EP@b@C;{CU>~)=7fEl#Q`L-c>7raLl7-6 zmArYR7^4ls17|5o4b4pm)?x;IFl`;-@V?Mf@WW*QBS-mLzk?}v}+qXdJgiMu)^Cf(<}0{BjJ5VP?Z|9 zP~ARAzO}~<_zZCJapPj=!Cy1rX#>a2V^h^*)1<-_>Kpb(V!$^hsWxr|?3+5)5J%68 zvhWLuKCVk!mntF?qnD9G{&0_NRf;p+s2=bc-#DPKLaIk)$oN8{M6twX{)=osYQ$Xo z;=IHr7(2?UClSnlI5zf*0L~ij52*>5dQ`?i8^RM;@x9mw1`MwOH_&}>KfzGd%_(ox z!7hqt4S90Oel>WsnWX'_(lK0e-$4}0KXe&WgU^rMA|pojLu0vhk0KCpD&tgD*0 z7SlTSS>HOw67xdtyg74u_4Dt+vugjV5`{#)RP@KK`SqK$nl*V8$N=91iUku5!2`Gu zOM>a?(DDZFeN+Q6ib^0G@$wSos__IEnLT-=0m0b6%qduMQqIm|on3FipOth*T$YqV zUKs9}xaO)hotDpg5lY6RDYyZQ@p$o3nCVXpodz_RbnwkUBsyckm$MIMPc(G6f9j4|Ti99ePY+4LgIPl)x^?pI zAXtppUh)xL-@^Nj2aM;})Zdt8M7`_C_`;y{8}kt^S@(g?;bwyyIIOXa;8Ys7Tta{e zWNUD}MDbt*fcLU8)>s}bX)!R;GM2nuN(#qqamkd1OZNC%q6h}Dtt0SPm;Ckt575Nj&R3qCc_(sI4Bs+C{ zT)>cQF>u-2z2Q+HY+(7!{-=}>1rYK+Ri!_RO{ss4xMAiHAWNiMyB7c2No zDA4GMCErL8Q0uO?_eM2qa>J^{ue^FN57~eq6zm%(OH&CNg}m{`k&;ovANL_$RbZu< zBF~$!j5O|^49;J~6<&2#ZB7?c1Br$RX6*OGLxcOp25-lE3pi$`I6%m0|xN@zq|}LSmosgkn07Gn#}Ay~3wnoS2}|%x$A3kce^SM=@7o z^y3AD0}(?#N3M67L2y~O{yxKBajcEIJo=0y=~Hhmf!Uo)2|SZ~iBY9oi(fPi}6Ue|j@LzEp z*QL!56&D7hgQN^LAq(z*)YuhbBq{$|#eXPq(Dse= z;0yzPd*oNOHA|79$fjL(wHmoV<$NtCqKE*V*3G%%cmnrqaDz)L``QVK8aF)hzVK)q z{6Qk8+H_OfGv(&HTbXL=A@0}SJv-+m?t|zBDfDDX^2zc{!p~1vW}Z1xO!B?E@91uj z?GWL|9d$*70(xM;+`ew%P0gE1@)lfyoXGuL+|li+RtOwOs^&?ZrfBcJF*E z;kw6);z0uoJ+NTBR+Ue*tVli?gvPBcJA1_hZ$}70VFA{VH;7e94D`h=imFl= zy8~fKHYV(X_f?uq^h)r$g;Tw_pS%sh3OplF{-A}6U(5|R^t7d`daBZ4Yw}WO2gPbn znM(dx(sXDNbxjYbuG^r55%Yr9vw>;#l?$U>u)ulGfeTkptc}hU{H@;#T&&L&iyy&I z&?8|dUem;A)6H@3Vd>3Q{E;Qiar{ZwducfzNP`sLC{u~MT_DF)eR>p>Z*N%u|P~TrqRQ{ zITiNSE^laXi~CR{w@!T}{|3oS`qX}ZFk*lk z6<n6eBNX&{BrFIVT`j{Gq;H+jsg?6^ss$OwSS3}3)LAOI7WUR)8-tNYG&MWp2!V1&W8?ndBcpF z!9^1Dp<0_RwF4luGnc)6jE2pOu6?~98t*-0Tm4-JywgATD*R5w00edPRxV0E6i&wq zQw&~#mN%Z5COMfe#0~c3ZX|u`fEuS8+55Q_DDQAu(2PvpmoeGbV17lsB`>V9pCyd0 zxk)5w+)`2D0;jOX4FplX-Bx7QhpPQ9>2IE$r!qd4bh`oq_(0!MtvcEL1{dD;Y$@i& z&Rm_IkJbto!h#SUd>EIgu`Eg*_)ho$_KkF%p=w(t4Hlp$a?h0XG(fs{GHS+8}Yq2s3LlRQ-B3{o<=;O|B2! zw&Fx->hYp@B3^M&!q6tg&8t;;AHQ=tGdZDCr_O4^5_Q0pMn>+N3BT42clV9fI!XkNk1Z?bG;4hQ zCCefWQa^Dzjrn?r>Zd06&;=l1cn_~T0|4A` z=_&PLL!nb#h#T_Ap#2=K7B(RAVdP;VKzc#ifp^`ou|aMVe7;y6p=TF=tqQ)9bRU=y z$)L3&QREyXJ)M^rdJ;(nti;7N-zg>OUYXR|E@Ae;z$7cx=xT2;QegCHc}YK0J>Ix) z?gI~J?qky(&%L6`e-JFfh+)%u+^?bz3)*mXFavFJ5^}MqoII?G-&FJ|xtV??a_cyC zMIGb~cH?4k8Gx(7wt0!MEG>?dxSk$^4S#CweJZ?4Pp!{Ay$QYFroy8-f*P zvYfM>eI$%Md2eOfzAY=~wr(Lnj9dM$^+1b% zMHO|--2t@(U$}ZG)$p9JVbr(my$TM@rZ>qG{41wx>nznmf}Zw1CWD z8XQsJf|n-J9h60QkJUh-U+bpqA=B1GIaV0?t5cD#jU|v$K2`v}$Ix%*qa@tSG>2T( zNHXZ+$RkJ=p+(OKxf?F5kkgs#NCm9xMc~3*kQq<}!rxTBnc_o7V#nY~tLI~M?c+7< zdGF^}*IN+my)xcwWxPS=LsT%l@ES3=iVL3wPXtoaR;Rqt%0JJ*-diJXaDNa-HA#~g zTbwBiLk+(|13r1g83T#szTrm1NfmWk^?O>i?j$Kqj{Gc)cQmH+a3lZU22N6>BYW#2 z*ER52DN3S2fU#8Zlhnr{NYJJspKy!xPc9tu8Y(#yJ5W~(+c=<_{h8``m(3xCeu;9* zjpE3-Wo;T43rUr?f7y>}Q=V~aF9W}Wke9g6b-)ehyfBNO5`Sc)r(Ds{Ajtz7)+5u5 z^nWS1>-J)p+2=L$Uw~PSFhH&?GUs4OEJ@x+j0wD{17?QLp+ow6p(ydLvF`bW_fSs< zBszMC^o5a}Xs;oo2My>OAHD|;D&7i=kZ1vtvd{I2n^mIZU}WWESg7947Py@-NwBF7 zzOP@4!H?Isi2WjRxIjZghaSM(Op0WYre^9!IXeuZL8JQJbLXML)YlK?zjiRkeR<tTYYT zjELHtgwO~3^;CVI!f7QB7oi;=B^FNNzeIrr*379&_wi3>7zgu&~0380KVWAMB0f4^ zECyyXnzr(~Z@AYB>%Hdh@m>(N4z`0}TDWzW;%fh4zp~p6Bm;6d!0#Ap@h{R$OAH=C)pK{gg%u?f+*I)68*P9@h zxc4LhJ6RNL+NGC??Wpps5&M?he4BRTZE~e$$Tsj`HyPxHO*VyFPRCRBsHezaa4C;q zz71}8`51RTAc5QD@F(bIX`D@`^jA0CbQ3x|3G}czh|@u*yOGp+Q59LyY{ZHA%8ZGZ zwe8}wGUifI%Cw?qjuW|=Zel7BTJWV5ImHhlbb(WI3(vMc5<>oL&{XHQ%k>09Af5;8DunUo8e zw&n6J_b!|>>&($&sPeBLF2p^=0>hkSUmu(~hR+B^*AC_qw<57Q z6&Yx1rw`_W58_<{Ov=(R`0y=Xoj#ZchMXu%J5ips&3CCNfr$4=gLIEjqx(mRXYB}H+s*6o>0XQW`2-$tgq?Qyk(#U2+J&yxSPJRAqlDWi*K>w{N&D{PV<8 zp?gkOxnr1vY|512&Yru^!@JToAf@KQJ&ziD52$jwy~DmQVh}#?KNWn?3>m9^-&<}M zGWOwLsdsNMs9p(l3yb@mxB)%P1~+sUNF|DEFXiu&jLuUV{^TR&S^IHHYELE6<-F_l zI_65uhG;A4`**i#)uO>u(;Fwhttw66)i>W7E!;goqwwDfpF47?yzbK&7+pJe4D#Rj z=8;0=8IGxs3=4}DT~)z(QWqN>RACE3h~ADdlO$uCS1-U!AxS>n)1K(Jp7rja1G!k; z2{~U4fkY(#CuiQ=woOrH9F$jZ0|5hh155xkSby);Ln2X>2&4W`VRBYt`0=t#cHm#o zgHHqx(hr_8#SE|olz`d7cG;my;Dwy$kU&bZ6DE%zp>kY)6qn#4%zjHevXmye1gu zOe;NSSvk)rDzn>+A?0oUz!(fNQjrk!pg{9^4a7hnp-3Frx#xwb-Bn`5z&JoSC%2jc zJqY=jCh&yvIJ7>Z4=$$$UCmF{Ax2_}MZh5K5Bc#-)|Szznd7d9ea0{Mo*(S(o8};_ z67vWchyX(b5Q>k54AzQ%==%P1+t1tXh8x+L=}nv8SOad*r32rpr)D}Yl*FXClSpR) z-D^`WHgE^dxTrT(^qZ3Sh=vn{;DSRx8`}z16OqxViq`4s;!m+24VT~%H}F-Ftp(!}l1Y7&(dXWobJrc- z^#e96f=h{xfL9bxhhRmPcYfl=7iVBDR^b2cMiS z=&%SmJaPEm3qp;Bq4jU>KJK~44;LoEB7-anCZKv~>L%q0P+K%g5c4i%P7Nlc6mww+ zD0*q)_fbe#_H2p+r3c0^9@1UC;FG(TpUVqCc z%ob5+N>j;Fa{54CXuy^`dv#SqM#|eCtk`#0kzE%0nNB3mETfb11YMGbOlQ|zWx2)jTRV1 zi>pD*p1;8pi5+$ho}WZu=0Q(fV^G&)%wlRT8*>94cRbMdu3lIai3CB~ z*UHE-lkaVU#(okS10BExxWPgpC$VXml7<*P@q(pr3{u^%|F9Rz~k)s$4K~G^JX{yK- z2Xn~Q&cC9+uyRCpVCUBbWO9HSwg5HyTRDjAQ{?>B5&4$o)PbBOb7nMb)>4!s%zc@k zsVApNk{NPSh=gyda?D~LqReY+YMVC@@c{DnrUKrZ28lXj-W6*hxs{+o5zR^x(dmBT zZQ*r8+8=nBz%l`J{hyMY9@L=Ro-glESWJjI?gwY?qm>g#0@NTg4;d?bA&jn&h?=!E zZXf71e3s9g-9AgAy+C-*yCFx+syO)Iy`O^&CUnRpxLy$Yq4UY*=&9sBK3Qj$x%{w) zN-JC3)UpP7gWdAJH)d>=;d$MSaz)hXCaxK;5JUnJu@Wd3a7eDbVRI?Zjk*Mt5lYoX zmr+9_3&Dlq0zKV%aHEfH`a77MK?j|`(iiKFlh&-1yFobEzoj1HxP?+(4r$-EL9#W- zzqcw}$g#CG$t*Ng9sHlu_jKExQs>JOei%r<%f8_mH(?5?Yj6Id^JRUOcuw zz=2G9vVawC>yV=$gOTo==@S>UabUl@uWi=)Qp^{;Od(X@kCQj-anq2s&M|tSu{7H8 zqMy;VU)RnZ)-9WZDS+vDsyt0wGe8U|SbzgL#sLjZq(u&mC&)Y`Czo#vu|~!TnB>Qp zU$JM(##IY@b?@TU(X0iJ6kYa%#N=juCBgf6oFTVEr{Secjq)~K`}e&kc*`Q>1aL#^ zDcZ{6!i^$$uz&!H33wuaz^An$+jH3nbZE&$Yd3l~+2Xc8UjdBysr#RNun$IYq73hoI?TMijxj9O%19VLYnE=4@`_$4u102d^p zL4U#2j2H5uvBDmNKe@Y79s!(5eN2HPa{(@(g#!Y}W+d(DHAFRQCmD>dX>8nfr`LmH zeP(a<-k9pOE*ZEm*k@Ojm~i1AikHM3{~99b9*gcgpB8jfZ#Q?Y-odp$1KVZac;nQO zmaSXWfEyf*h;OP{(VDjtX$lPFWy<60^QiFOhKy4or__!DwKz!aCvX*w@84?DN@5jj zn`Cy|Nz#SHLLw1*s~0UffE(SR>DJzmM#v^f7^4GL#OVDbZor z;;wIA`*D?Id&v1jtq4&KZ>)7UQj%h+E9Q+r5KXzrmJAOIH;AhE({TgQi&z*kROIWF_b!tLhK>d239J<`OZpt zcf1r}ytqXTdZvEECjIa3v1;MWjPT6}^UOynm!OpqQ^vq23o;03PykL>sU}T&-hLoL zql$1yOwc$2lLYfAHBbPY;^dv1Rtz27_mYN)Sw4q_6i z%4ehLk;&Bp20Oobv{a;&gSq?`BzfytX~5RCojY|f9-Zbxpc85I$l`*K$*h;rWg0~_ zZfGk9<5ok5p6obeu~ZBhCBEb2zpMSQ_1>3DBLIrTCg>xCLjoGuKsIHn=(mJ*tW#`R z2SLCs*aSrz9U^@ex$X9gLZ@WSjnB{|B7 zt8(JQxs&1?e5gsZUArlTD7T(zaeP(ql9z%_=prl_AvAmGN{D9 zFUDBp4f5cHpOT!SEX7}_`1jTL)uP2*T)XuS2Z3zofQH#~%IbB!uhv7N4WaLoYV4p( zO3@c67w*Czjwz4TJQ|OZ3ZBCziWU#s)|FVG#^W2>8T7BbJb&)q}>}yRM{njr(Qj~JCm_lY!4 zWWMP=`Z|!YHS~b1t#%4nX_N7K-X3U69Zzi~Jp^94}w)`@b;C9wVUfgE;t=hQ*=1Ovg4H%*)| z;iv$M2mhWrkUMSCxNF*VX+&Zc@+6S#tMN@{`U}$;Arst`G0s^rhaB6n_wwE+Gw=%= z6a)i;R?u;D>3O0jsJ@DTL7pfCb%v10crNLJ-!cJ_kR#t#B#1!bLXy|TiR#ri+V^ri z^1Rm^fA78JUK#)JF8W@TpOtthe39aOalJ0}#1{l`DaCAY0)k%A+g;iU(?rh{y}$KC zz1&H}*2~<27#K==Ydo%4#p)B(Lz3UO8VF6+a0FKupRm zKwz}%Y3>~MZstwtk83xXUlyL!4L5MBx%eCJzW!S4)@^FgQ#9b9Y*JDI{u(x64BGf% z(aV4fY#KJU%5z7t=l{SBsM>*U)FUnm%;b|>$NR3fhOL!XJQ2R!0T%Y>j_LKR?A=*fYXdkXcwuyXppXa5I-ucOk0rTL}{`F zdgR4`9#}2XBet)c|6+vys>FR8OAq5zZt zh)LuCe-gv|yLId8w{8h$7LE^gff#%%7((6*(_{)mU;d#@9%gZuSJajFIiphNVOgSi|*_#uai z)~#B3)eTK98@<4rq*atn0S8d_p=C0(1UF3Ra0d_>azD%ThX};n=j97M<2mRVp^}v} z{v(z1jR+VTaP-gFpC}SYo(LF_2~pYbx#-rkrQ_kTUUPPO2b6lH{M|vWLNOpS+RXuP z0R=0ZSIZlE5b7DBcc^VUQ|7!M>iylfb67Xt*P3OksNGpJZs1bMck-hQe5u4CVirT> zpdcpd6?xz#ZTp|pFfgLHxf=&G4&ZR~fEzDW?WVjmR0jp zLN-#Xh)8pyNphq8a-y~s#O_2%0XML?o;g}d_An=I6d*-N%_jcEa6zQ)Jd>(DWbnZ3 zc&Q_4Za3Lqrqh<4smZ7jqZ&GL#Xl<+j{+f-9xFmXN<@jVRjJtMZ_f1Bst~ z{FazNP5uT`n-x>EkQG^6#!qw&jT`U3R)q)laA6851^)5DnYT}r#)s}$vuxhs;tU|@ z?UR*gZO4kZC`=qBAO=6=TwoC^9J#U}^XbQil1;*E>=Ln(q2yw)<#EdsbTf*wUcKc< zF>+$Qz$6HH1FrIxOqKq*D*VAsI5B5BmN(>WUURTG2yCZB$R(FD292v5;l1*jyw=+< z+bjAtuk_Ch$!Kc6A2lFYW1jQMl&PEKz@GBM-y@3f6E{6Y>Wb7BN6()5-@x?}Xn^kW z>|6(KSqvRmac^qx81}rn#1$tid;H;c<#nVYH{1idxjzJ+w6m8tUJ@z`U1hQ0lyz_e z=Qvhe3pdz!^sGbUM#g6*ZVa>QG)^LXE>kwx?L%28%uu{003vDuBUr4%#FnfY-c(kZ&1dabtDZ` z3?u=jCt3`J5jU=w8{xmQAl5%Sa&!LvZRGmSir8Ejx09mtKnHpXQ;a!?SEUcz95<4g1PT$DYT?1n(QF&^s_4KTcK@0 zrF(vzkOau=O?4zh-0PY-`aSM7bBm9Ev3KGpj>7*Mh!*TGpy8VJ8bD;Enc(AGaTC1H z-)`=ycjGJTht|ZgIUrxT;fB0bnf@2Xr)c=X#DNRx+)Auhktg= z-YVtnwY-5GXw#^S8T_i6Zp)NFU)x!s4q@N;Og!%|?N%k?gssILcNLk`e8w;39AEN)TYDwD~QS%pr-nP8%K&$kT)=Ric3Y7B=i&jMAjv3 zMGVpO(7*rG30-s}hr0w9I)d9k}Tte6|TbqS!MQ|jf%Y|n|@LWPl>m|cncHbbCd zdSc%|K5>!0L{1P{B`Fd!CeC7m+y&3CZq~Tr#+3_>7Kx&y1q>4?#NvT{gDtpjO|eIC z<1it3IpBjx7noAfeE=MCcfeKC!VHs|_%-~npMG#g`%|<|p*2zBKZ`H!zZL3WklIl?)y&qv{h`+pU%A?H33Y ze8p~jUc>?2cwfRixuGWhhVCJ!fMeOJgA7TWj97rVS64SyPtO*P)?d(!eoqx7>gXUH5Ny?8wBW4 zRPJAYe_P8NNC+lq6qxdcsic@j4`d;|ShxXSg@BsZ4&|RdcHqJL2MGm&5Z6nu+P7!V zYlllXK5ztXh)ts^-_%gVE~>q^h%~I^r$$qMO6qra?WLKdleF^%Jsk=6Lqx@GR=kE@}E@+UNko;l0wdq29 zilj8RvX|S;tc&;xR!s3%VkPD#c)*P-)a2#rkcpoNQH1r8jS5Bwseqxj!c)aUNYzWG zvtEEceBUS+aN}7ZLfABXC6E|Nh-A~?Q4r*dp_O6>5dcE&~u zvSIE(?c#@L4i^-rgdHtT!A60+p*^r##$d8D<@GvZhJXg4vT!htmsxrWLd9!`3m4CM z(HoN^85`hf4<6n7j$T>uA;(IR@tl~rQOIvaJ*mpm$KkMmBn7hj`hM&~|0!zTI6-FL~BeD4iu z4kAqm+vg`W3Kg{>fIxxE*{YF&PkL3oy%s5@?g!*)x_Dn=93cN#ZMeZnv%eNwC=GQ6 zb(JectwWpeO}Cj(f9Na7kTA+PwZ;t_J%!GUSy~-R$&G>_hwqq$oT#LIrlMBD2hJ^Q z#7Rn!9mLeoQ!4DF=v+1p&oUfcJZLtJk_rSP!{;H%4>tDa*Q%&95^u}7V&9V-alBS~ zKWuEul6`c?bfm+1ph3^^-s0oq1$5Dky8(@`=sN?Y$;1sTpC~CZ=}h|u=>ZA+L{Mi1 z{e@RKMdz8VOh%?H0s~eB(H-&xMzC*eUNt{%?|Sm#AZg^skRAuS=++{ayn&6;lsD3` zZ&c+(q6C#^$4;Iw^7`gC2`wRsw2dw~kmtBMiOkq{bng_fQQRv69aWmy(($Jq8Lj+9B)O;}?NE-hMs~HRu6@K~E1|-k+ zcN&97c#WLnGh>VQ{168U3+6ap=nys}B;568VQjF-^tW)}+&2#2va@psQ?$_gU%35z zAaeVmo^G}MP;WmEH*Dk$dGxty&xcMpxO=(Mbe1DtZ3Y{>E7+WTok$wx(V`zN!}1|tf}lsw2Q`~_g_Df zmJoGkuO8~MYei&5la2exG;Wx0e2o~u1#=&#6D9Gq?Gy zOY!#0@miB)U_0eqi300H0GH&TXL>ba{KZZaJro(AT6D2i^!AG1)D~BsKnP1MY~>Ap z%Zu`Fu0&_ZW)!L>zG?Y>y9wpxr5d-9h%n;Z8#Gi++X2tNqan{j(I-}g*nD!c*Vcr; z;pT^Bd~$($R%(9=v>6#2=0xV^YurF(Nc*&|{uE*`#phH@)BY6vuz6nyClFG!J5`=n z&xQUJ83VdM=Z+2fX`g?imM&CpCca}^0SQr!Oeb#OhvmJDTp>VFoG5xqd75l7-J0+; zNKX+Pv_(oJl;G}+fz$zvkS~jUG;YA$$cfsLw;%WG4k#S>Q%pTYT(LlqgoGT*D^3mF zy=nOa{qK}WMy%xoYUvxUABP4FwlE_gS3fbMfw!74`q`+U?FX`>p=xNgMQbJW0r3Ou z0#ZZ@!gyOKrc~^rXe&_5xE3ZdS<0E%1I1^1uAw45jmWZZm1P# zE@)Die>HB9kZ0xMIZax%y=2NNmB%DC9|U(kpvrOAumSh>E>4StA%=`0zdZyC9cxLc zmN!iM2H82%-#%G!tSoEAf?1MOnfM4?jZ61CQ?hf7JakxFB<+Rf3Sut;^wcT2A z{~;S|+%EkzX9}OJ*X4lh#;eWGg&Re-xIy0-=c!*9R^IIH{Uvn?W(0y0ZQAw3ylhgt zOC-tz`D9nF1oDt0ky6MuepUAa?yMBXEb4}hUGzNMuwa?{aG@WTTXf{C*UNqqT%dVh zciE<3!e<0(;Xboz*dcv0#1EVQZwX4G2u1c6PTrS1i?eFy0TChG{jfH;;nBWf&$T1x z$?O2^t45Yf-Vojwpy3oSP$ghJ;W}Xg;x;{1o&hybYQR{Lzu^ve3DqwK7KNrb{lED)OkE?ld6{?_=Sr<1h}8*9w#AL>KG{+1W*PHxm9KN}O)U8^b0#o?mG|l(lKpYxB=mmH!jhq&lrK10cAJZmr2lEIM6C8dnibaoAy?!BXu)X4}F>#exCLzy|l?%eZ zO=G?%zOCj5I1513xF?*GB@ybtPb&W_Nt*y)6hzWIbLr17l28SC7@LMBgIQ0L6+KR5 z#r4*+#|><-5Dqah)z*-U9-M$Z$H<{ny{V@ZCQ7_&Q6jHzF^3BMTPz;(Sr|)ttDOBi zJGXDssNuCEpZeRDH478Nc9MOR=?OQ3)Pkh%NC?~j?+YJFQM^B?zfn@kQ-ku8f-zI< z+_ZAe^l?2p--=%nz%*d?3aj5G-RbM%8d>31@M*1N{)cRnLX%U9DhxBHPT09|S?2x# zlo3u%?OKethq5C9kk<}m@vcWM0zDwElOyP0UP4A<#1`Kb^Ja|eeY@m0xTkk7|E<2M z@ey(1d*UNxN!}k6AF)@jvl1J&J2fsWDK>w6CVzs* z5aaFMZN6E_`}NTh_lG7#aWva+8yhc2Jz-LcxX>sxC5P!Bfi z4qMy*!~=2)cie#RR-1i;lY(Xh=1?xJhgsQ;=Y)OZq45S(a~7MX>pWKcPgH(#o8LcL z2;m4Vewp%WjyUXDktat`=I0Xc)9Kz?&!gkoP@}jau8YNjPKz89iC>;3JS+_+;6_4*JRRp!t`lK;`dvM^bH@n!^By4Ydec!w9xaZEE z0Ek`&_PwjmUCwpArT;y54C;5+puTrCZ`S0>hsXG&SwfK;n(+v>=6bd5+OAE@`|j=S zJenM~`p9}?zkBZJ)BAREhIHz5+vN=!$nYlclL^9$hDbn7qHfsCd%!c^W0uu>ahqe# zUI*nHum>WF=rC382Dic~A-L?H|7Vhe9+p-Itd;8Ru6NmaJ!FVl@ZV%k8(bZf zDj0D@Y|#jigbhw+Z))CAD~Gx*HcjIO3Q@?bWF=K|_Q>lPzFe37@`BF(N@O{Ei^sJ$ zsQ7;fVa7fl7I-IJtuwcX>yVz zz2mHN%2Qw{@=)~jVO_6S+jUp13FQ$tkZmw4!Al_s*QUXOj-VjpX6&LzOVUo7Mp38Z z)yd_ckcyBxaAl^)g%BaG#>`b|?+F2Ez;KueJ`J07?%eslKDYPj-D^?`yMmH{95&N3WiP1`T*<$bCZ}7&z>~fkPi0_|X0LJ$TxNUd;A?yEV z2XyCsRoLLhFJco$q(nTvrm?!~Vd1DifrZ6Eu2BZCO#?T!XNn;O;yvOkd0?o?RrD|Q zlE3QpfC?;A32#c#Rr^NKitKNN{9qGW1{>T8UK8XrZzC%;${WBS5k}9>QU`w&n}dBR z1gZ=`pjsU%sd8#-yj+IQR#j%+9zy0xA{2b$oaAHlgePka1J-pXW&634%OhVDJ_!zS zLU@o5Yj4IK4><6uOx-HHFY&`Vd0*#32Wh@y2}#S^AJC;^dqqSZd2-7CtH@daoRV{x zHwDEJhKvxuA`qFAM_`9BqeuXg+^h}YP z^OF?PCF3QrxH!(_*8;)xx`vBePt1 zMm;<(-0qGNe5-fRW7`@w|Mv%U%lD zXW?e*GuDV3n4vIkF!%{4r0%C}GbXJw3jc-JFSYDzYuFqf80pOS#fz*>XQ7?D3qN{b z)CD&@${SahG5gG#&b2FU^b-sJGq0%O^NDK%H?)fcYX*)I@m%0EA<|9AU_$DM58u_{ zw)WNBIsK##@=p9w*#6`(1M?{$g1(8m%4dn0p$K}UzRCLP+($KAEa6sfw;qaf`?+Xo z?avBg02*H+X z_an#1O}DA*noGI>&>jyat^Mr=lxfli+BD>iZJ9!<(RW5qXQmIi2YF#ZuuObI?aWp! zZP}QRcuA9jG-#vIlUQbRng}-M4S)-A19K(D2dJd8x2fVU)s_OW)leo$mYZFlt`*3i zLdN(;@~hwefO9v5?UwT4FCUkDRpX8QA^1-&xf^`(a z+pTx`u3K4@pITOuQCgf{?zU8xWmlABmKCK{R^-LSM|HUUZYg5uZp%gO;+;$M1fQ^@ zVZyM&Clo>V?Rp2c>mB%)o%IelqmfjB8t2n@-3Phe3#2c7`PO}42Nw1`|3;mA1G(V< z5#lI|K7zG>-4Do#oWhdZ+fAPu120`-(L3lTW#RvMXDt570PzkWv*DVdpXSr&C(cLj zdc^!n|4<)Cj>(m}PQ;4-R(F8&>J|M-Pgv1EwC)xATlb1@t?g{ohmsx4?am+8w%x6V zHV1UqQ>bLe*t?J~3T{NbEy5~F0`-+v#S3ABP%j$X+LZ~$+GIOo1Mg_^o*{4OZtJUH zovVv@8*Z%%DpO%cJy=KkKcPy$kW8@HRcdPx_Lf9dzvA_c;w%^926Xh$lah1efzir0 zLBa}j(jii0lQ5QbR#;f!UslLaRQjWl@S$3nDF4n26*1|;WnrjFCUFp%+&&kh4H7<| zIfPf6sTFt%`f192a6L7>kpz-b>HB+Ggdh050G0i9IdgbNaQlf~PMxnj1HzW@y>WqH$GPYeKS+78KK;w`*VBHG0f2|4V9RFrf zAv9kE4^mG6p_~XmI0xtDu8(luajYZj?c&ih%2C9=c7y>g_JkLSHiJS-=EdpvnLANqk>oRXCmg)GKs= ze|ZY=@3D_u7e545^o7$6eF^-lx9ESZ?beF(O3fQiw{_3;g?O{SC8{iLe+`>I_x zdEK+}x2ZPAP`w6A0+0zSRQ)S!-3?L=BUWFO^X8b3=|bEn`4z2GSUN~AV~B?PO%|$v z@kCg`OsBW#75x_AfYNhw2i~e{QxrDd^A-wga&w4ufakNlTzK!G>$)t7uV(-NzxqjO zz~@uOz^^LqW65~GGU1$s<8U;6e9Z447$)mif>hiYs!kiJZ#i{yexCM)v8~u3x)21m zZ$qa@95=iIu8s@Qi*$p5L{$`1_|z-Ko7YJ<7%mr78l z@#qxQz}7sgdv*Pd#;64XAdG*VVTQ9f7qf;Y>}z5c&btrJf2oLy#T(tk96yL~6c{Dj ziC%Udlw4R0Gw7+TM|d7 z3FSsDqkW4`l2DXqvAxJhc}He3v`ISmw;sk z45{b3_R;4hZvK*b>e@@xCDwWQ;Re#!v&)rft+t_LK2E{9?)b?z&DW{_Nn8{88f8CE_*=8=j?yTR?nJVontw+tsv zhc(is@jyvY0zT6{{oJ{AdJe{ZD1bmU8LSP@Ewnj?*kjoTg@|GbHjNS$h{w*+Xg(=U zS|e_d3$$q)Nvcf7Hkm0+@3ae$GH* zMTV2PkZad0j$?B?=T~Tv=pAjk8v~wF#l%QbIP8=pgF?xwW4nyx$t#4huP<5mhg)O~ zav4SDK+?mBuK*e0=QBZm6J?VXUI9E!F?4^)E5mqTjFjrAW4pY8gl1qj7DtA8+vx+R zz{WddjNLI1U`ow|TI|nn;R9UiZMT0Nzr);0?Qb(WsnIo#r=ED^r5Tequbi`f)q*W6 zW^Y_NYwL>Ho0rX6yWoY@b0)kp<=MM>baWp0!SP;;BkM=Ks*3(=;;_vxb5^p>%E4b%>OY0EicQtNL2oBcZCja?;=<4r zK+eM-j9nE{lj|ZHHYF0|x(^$BsHEF^2f7X1(eskuB&!m+iKwe}5e=5z$|QN{x(|XI zZwOJ%rXdDnEYgpaPZX1g<0|%;iajoZ5Dt2x6l0F5?dcwT)8;Aq_v<_V<>{SnyM_O_ zwU0i~4}wZ!L_k)2aDH+q6=O-9SeUSzQcxAC`^r=IQGvQVH8?+hPh#+vF#k1kr;Uco z!Efsg8sUT9igtrRL+nD=VxFr`#eoR@)KDJb3$HoS%3ieyJef69dCywaV9apBbTW@VQYxcB7FOHoz zeax)MBbUE2an+)iUYtC3%DCsIJwI~goGFW5nzU@*i+nYC?6c%Vt=FOFWozP%(Tk0x zQ4Z2a@cPp;1xb79exKHzNjE)t+;g)gk6JQo+{$?`te7)-;^?O*jTz4ISzZ`FVhYRH z;bRu9=#lji6c;CMpymrdc~>=lav#didd=J_B$mggi&F#8FcDHDtFTu^8OKn}%fhCC z8&JGNPcd(xb#D>y3O-d&&XUkSFu@)-T6U0Z^CaD}AE?Bzqc>T&!Sezl&c}`4u+!wD8@V3vx3C4;py?fIi{=tFza(=^-o!GD0iULkWsWjo7hr@ykp!gV)3p zGmU@}As$;dlND|ti`+VwItIgSSCOLhdDEM_b?LBr?&O4ho3dl~01h0MgO?`nWjS7) zLdYJcE=vgny9fs+@pAIM^@If#C+yCQ+7TVFcHv7?dUor4^UZCRE|{GawJj-dZFAG|0-%*@Y}e(>%w=e$cWxW*7~HNbs^hUM($jb8nStNbi}~C z&#LtlFrUEQ^Kj$PS;uTYsY^>pDKr}__Lp8EUg)^Za5mYWr#Sf9~o~+&UhYfAa(QjdJL9y8Ke-o zINWH`*62P!@-xp5Hm0mIpl(_XNWpYj`GZtL0Bm``=;6etersDJid#XDPJ>3)PitL) ziiu=`pG6;PPo*04tn#i`>;855qR(Tdz1MY2>(N-P|c6qRg$!)IB{=b{H~J30Bb2rK_m!dZYG8L&6zr;Rf}eh z+lP3OWEj({en9$DZlDPr($D`=AKB4s$c@dKOdI!1e9$K33JnxR$w38i0mTUcx%+o; zB2osGBnN^LO#GsR0MZ3e@;f7Z6PZtn69WiCCJRbI!rsK7^%F)v_w*w}V)m@fkJ(W~ zKT|_;qqn7ntS7e;F+>C*W`=KKw~VljoGU$i>+r{hT-v6S*PH-Jw1pWNgLc$$mG^IG z23KC+WcsAhQ9D=f4_FiGw=5xWee|x?Kx1m~dg#HR2ie{NH!qI#Uy&QRdE>SXH^#rK zHoNo`;(RZ}4dO=U?i5}J!y8EhM7G75AufPht&g8|4O3=0-m;S;MYTO zuxWGvK7Z+dgaTyW=I?VNzxCA4!wrmbI08@-d0zvA7l#`N2{5mUzf+Z8tApREO{vv2 z8k)8fhL}g(DE-X{e@zX1*8XbbTOJA`nR7sz#^lFlkeSN!4?XTdPF*xjYp7ew2)B-n z)(zC#^=`N!FpX-N)8p|y?r=?0ne}NOh`slL@pikr>nWD-!#xZ;Ei&+eV0G{Z;jH3L z^3-Ncn?#PUw@y@2vx&DnIZMgrL3SV(@(%HSCt{AUeI{~IQc!tXF#D7w%6p%6)CbfS z*Jng|S_tbweyau#=yNF+{>keNTte3U)GPFsa>-*?T+zDIef{p)zJ5uC8PZ#px=-sP ztdyn%l_dwF4Y7p)q63*>s7QrLL3~9?n##=Z?1)X-5u0-&H}lClL51XL%!t?m+keNp z1!RimUoxWbZ950yi&;-nE8&O@W&hvFzQnkZ*Uao%ihM zaVq{bwZnxQG4Iu2-(Z#zw@A9?5%U~N!<}^^+~+>lIphtjz_m5>6ieRVPF4@X-=tVo z)0TwC8Zqw})T^>-f*Z#bj8@*@w#^tm$4LLcBzU`^-W@l1W#cLxKF2X~zIt?m&_pi| zH=tpY0yOzLuwA}N4`oJ!C!|yj-Rb-Iuptdw-P&N%D)F;ekC>T)QvBTfYnr!y_KBehVLOTK z<$(N9j>czN2$mBL%K)*x=Y(Ot)u*x z6Wxr6g`ATazLB^^U=x7{`3E>EjNd6aA^A3L*VdIUU(v0fOnFP*sL`LIM-JdX90ws_ z8Zo#n)`-%$Ve`D)kS+)0sYSl?aR2gAa;mxU5Cq8jx41SuHYnxe zVY$Ok#<1{<3Mdo}>rZSD`Su*Ec3yK9o~%3_7j*Ev^h3w)m;H&t^8DvEOg9l#Djs=b zoZW%X!wq`HD;dn4(L>0a1>yzB+{&z=2+YByeD=JM6s>+L_lxJbW zo?%1!dl4u~qI)WmKR8Y`xZ&k#;|mf4$^3jYFPfYxXg%m_$Y7kv{4JOnMpxMZTgQ-r z{nfB3VpFxp4Tg|Ye05Fv>Jg;_5IpHA$Q$s!#MAwosiB)j!U{~{@G({?MDElN)wV2k z<85}296n_5aUmG*K5Vy}{*HH$<({^1V-YgIZ{lsikn-SIQMJY`k?6&XlQ+;)NdL9} zeKBGX)|dCWV`->xT4*=4R;$81$Qw8@_Z^V53?5tiI~|+SC2bK(=F%8Is6+d}pe(gXwytKiTanIx>>@{IW>o`v2(OWpC01*n2 zU{nM^!Re~9BgwuR$#@}5`yL4EIx-@6vm4fg@x4H^(~ z1wXIM2rWqt0!C1d=n^>-xJ=&#li{-nHwFs*7MDMzMtS3p;|BhPb+HmGnfrw#<%6e$ zi4WQgXD1i*xwF*@-d__jWTEL+x?@2><8NG7bWrrqBVH}OTITCVcy(L zaz4Q7q?E%Su#1XnMs3i`8W_*cQJMdAU>4K3;n6NCxAZ5W(p`D2{dGuWC4TC(e~AE4 z_OsfNqndcu-#r}j!msPq6Vr6HZ{-5q;OyMV_>T;sMg7^$DQ~=?hK#j4v^#Fl9~R_Q z!kS2cKx$CpWk@VQX>Z%swR4B)AV2bwk)4diw1Nhi$;6~~?P1B09>?S*;US@a`Edan zHv}kTUW<63=ePz64H_VZKuYR94IN>-*55-iko!h>Z7aEg(ht`*nlxfqPV8=GF=(TI zi2R_X3(lnvh`eDQ1QZH44IO)se@K~*22_mEgY%~D!^3hgGyGsy1o;xd4H6jv3n0k> z@~={22J@-N8`;Ph9Grvb{*Z%_eXy@mQ37KxVoYgj=!6lEDMG57wr$()mQBlMXGd*C z2|An`Rg|!YT(Ve8P*O~DaY&)$cH1lf@Hzq!5g?Q)Yed*D} zAxByiO;%o~wxacvvC9ArqfG}jH$)YFBZ+_rL%V4H2EG7S6Wqx9r?D(TV36h!H@Lk} zWr*VU*c!Ev3hc~TeuA1zkj`J!h^*1*BoJY~&H3cKTGC)6NuV@-K;@(jAkFh)a zdAPw1!BI78i7|GmD*um^N@NJx#5|gZo-uJmNxHn00S)vNr2X=gAbhV_L9vK}8la^j_0`^8?@?Xvz59-yNx_?$ z+SZ=tZ$(Pci^d{+yBbyaR_}laK@~j2kJ1$8EC!HBoGiF&NrT2$On=}G^xz;Oeq>8c z8NPb+Xc3AG77{!!z}?Y;c#&AhwiLe`++!auq%1W!Y}>LsyLaH0OdtOYURs zW?ikVxlvn1-Vn)WFVskUZG`5|7)7SEjgR0L=J>9~Q0{^)dS zy^+wsxy;@nW*Hc^1&AO2RAcI(<`X7H8bcY$-wDyzW9!mS1s{@Xt&DjBy%ACB`AB`e z1rhQuPXG03l68>8>=&n}xZ#FanMkX(AW#s4<`Fkg%>64oRBVw08DjAxS{&0fWrDN9 zTt;Ouw`gHQR26fdP)gQ5KTd4CO>VkzhwmbUe=UuefWU7<`K=rQ{9!Je4jR5gQRe-MYw-(#&+ZrkUpuY`L6>bfyH5!mlp+7SM3OA7d5}B8Oyqak$|=N})~S zAfCBiQ3`?P2{#M^A_xTY*a&NdrBiN7O$Y-ziGjyoUEB^{^%PbPlF9I(^}ePASAc^SS7Zlq>{=ir_y7>;?Q;CA2u>$Hmn`h1=7I$y zJp@OO1}>>vVb-4lAAlDuGo*nvp(Bdk((NPpap)-sA?REtIt6pU6QyaQgT(nGLa-o0 z#P7kux`Il4h!z+(zzs|p01J*7QIrw`_!ln>+c9qJ-@WdR9-ZNasnF9-WdwQ8k~eJf z1oEU9LKlY{$QuuiP?J`Q`@mY5txwEWUHjWPaNUOi@AQ0g22uVg^CN?RdXJ5CWW?~2 zLJFQ}%s8{FU?a>F68^|LLz zpby)yx!PK&O3i4v$ag$sGSokjPeWbOKs-CJKClzAUdLP9{tjdBVZjOuH?)$%CrZk* zbCu6!)hF?|Mijr#rHbT@pr1_$Gsn@mA$HL^`(d$fL-`;O62>Wrz3*^!?#fy^=J4`< zY1)KiC26NB(odGBy?eS+^pw01|-z)LZld8d*U2DJmL1?7EFXH4AK4L;y?6_fx&Oc-I^xg_p+ ze#B!9$R|T&w2rZ2gt+5Iwr$;|3vojaFpqv%29JKTGfAz*)7#IRQC{3IrB@Ycd4r+*x&~J=gt#` zS(io*MxrqI2^YRDqb|P?J8Gvs4x)z17{B|Vgf~w16^1P}C_OePaHL~GoYRlhk}6SB z2tOoeCeN`ht=?slO6B3PVupgg`^Xga*i_Z7mm~(Mv03s)-FaX1kjzMpZV*+4Ao`bf zNudqf)}j5a+t#m;a*Y+4$Q$6syQdC-8@$9%mZh0)&E&%5kTnZmeDuKq_w>HKSI@5P zJ9X~Tt=kfEtYm#(*W?=+;}oi9!r8MAjo zMMgN11Bsf!4I~^bejpl=B(~Ue{QyVgZIfQfVS}|0!bVw49qLG4G<`s#fI*rWzFETp zY74py>sni>h6@unfTpc$7If}-n*l|TWMz76@QI#i=_%#4=Luxb3voj-7?6L-6YtCR zf!6iQpcB>FH$9;;Z_`$F`YpCHoF;Hc$b}AB{R&(dZsY%=aRWn;Zlt0OIUyN5290#i zEPLF*P%wH)oq7(b6>Sb0K+zYHF3)2FoS|F8s^wS6SEzO5&@1@K2s~n7g}&UA?}n5D z_m35wPLB<(r?7tb7h`#(({$sp4@fwK+9A=At2X>JbvBK?6{`Gu=>r);T8J&~{AS4; zgX%1A5b{jmJo~4;t1>^6e2+HSoQPa=I39Uua7KKH;6^35k%pe4+6n&s-jQ^&U zDrcOi4W} z0R$5nAYz#!gi{$2QA8UqoZC&Ch5(L9?gD=bllHA#Fq62mYuk14g8cvN%j)r|S3dBZ z*YKCsgX0~&hh5vgXP1t*E`51&QA#idTahSC2trYEx=65g3T-SL(+$cOpn>~_(=qGm z72Tt^e1asb02JsckkyM5c4E^gCI%;QH(Cki(DOh8Q6wQ~<0B9Buh;ReD|VJv%c2OS z_*1sK>ZjP(c{~p{iheOx#2G~WlSj@zKIQy@^85eCnL-f(J)Y$g=fcNQrumPzy5k0y zj~-1B9|8k@DC-4B-Pq(ejGg(SbLnP-8{BY$fJkHFv9;hOv z)G7+N{Lgr4r(?o$Nl=iB5%?ELQZ#hD!iZtty7ZH9IgpBrweB`r9!g4W(t`T|uWxC* zutusnk`}4tX9<2G%#dV>9E!*_Ove-mAdkd(ty}C%NsR*amx6-lnB%oj%?C1stu&{ z0f3_L<-zP|trPL7cVj!K0*qL=0V9+!7D{$nK#?CuER5JX^cHBMIAw@xA+dau8(Sy} zksu-HA)y6P^b||p$g7*4!r*7&=fmeYCaw^I(_f7ngwbJp(cRYY1=)a-d1N8@gRL&O z!5K+nob!W9{g-4HB&LRXj#MJnjqvu&PlOy|SxRki18W{UvAQ&(CW}x~ev!H}WTDX- zkj)SAyaZKAVlnO#AyjuhEJR%D31R>z9qy6e6v)098Ck)}gq3{AhI5y;<_c;5zWW&{E;C>^`E%Z4yu} zRoV&5r68bf!=@JUI)MvunrPfe z+JlRhEgD9EmyF2mlSVzRaB84akRaN+EftB=KjEqL+-mro(MM_U6P5ZU&s1WYRddiXfxn8It%%- z$V;|5*=yL8cDJ-n4A~0%%j9xU0bKmBfWx7jNN@w{8tMkPQI-};(QV*@udF`smD9cv zv6&DyP{I;33SGDX%N?HB`lYj4U=kzG1Lg}o_4wq5XaM1MS$fLF$s6DXNmu^5wkAzP z8r^f0S24(>Q)--OsbF$)t z*AE43Ue%^;TM7BG?jARsPM$MC2q*kW@@4}aM1?aFeA6y%+x7WTPr>0NgjVbcDS^Do zfd!@~as_jie@&}`xIXnpA&{Hi6BGwQ8-$I8GaTNATDHI1bimp5+gz|qi#;e!XI0Dz z)Flb#niuR%{CktO%jZr%Qb^6LBsgHuL@nIF@C&gVmKtX-P6^$yaYbs>u0whIHEv** z;VX?Bm`3xWs|}&ZAz(;Bd;k_3y0?G#+ClgBB0-H2a{3$>8@;k-pT*y(D{hz<#qHI4 z3b^st=_wG@0S9XsI~nh;+k4<=V?z>QM7Cqc_DdVwz`x8~pa2|6xrt;aO(G9%zcJfig19sPtyU)}Z|~~U)Ew9Li%R>4A^_QAYt==C9}>GF4~S_3ySsr&C#&U$ zM!O!y#_Z}_#B(V+Yvg>QGRVS5QmkK$z*3{rJwn{7`ay!fIKEB0p{2n^Id8a0Zl}hj zJ%1bSFWejU7 z=W`W(RNeld&86@aO3L)fV^36MpD0c;aU&aN%Bu&m!43Q=Gp9a(O~>A9L#l)gYPhf_ ztb1$)hGGQut?43i0LcCoFrWtazdI&q%b|jV@(eT%F^6jFsJz@w2a0Gb+WrZim=;v6 zJD6HSNP49IxMv>~2NMu#L4@2NQ$^C9(YK2?4w4m_AyHE3041D;y+FE;q9hJ0rcMnS zAOm^|iWuG&PQ*sezHH7EXe6-6SSJ)0x;vaMa6{Wg;gA=^ZvTJmodAg1~p?9$N-g`yA``I~Xb4bqF z1mwNGzrOEYdu=vzc4ud2XMXeaM>rQuzhXoci=`;sR!Z247e|;f>KWk@VcuGgbf3^F zb{Nv7E`16S`=M#em2c=9IcnS>JX-XBhEKr<7EFV@*DaTCYKm4gCer}A6o=(O(}fE= zx6K=F$ejH{#eXRgejviJi_jbPrS&dV2;Mg^wZD;d3Z*9=Y8Mf3)urBT&{*Mno9!0w zi*};sAom%jT;*<1hS=V73vW%Z!6zr%TVEKY=nXmHn(1bEiacP4cn}c9a$S{R{7biHRBjPxYSkL6b?m8NOqjD`Txu$30T5IBDMC?p z&_l~7UH^)T7Bx!n%K0s?X{!2;b;3K3kRj(Hb@aE>ZQv*N=#PxiOT_bR zLjMDN3WgSAR!ICn#LN1Lr9LGM(66xVl!W%hF7X^TZ@9q|k;D@7nXDyx!&D5{OUzv1 zHy3A%C;p_3PWSW7uhg_n;_ag6Be=nmEds!8Vz8P{-T{(*{3NkP%WY(ps)}>~dgw|U zepX!umY|l$7ld#{J4{!29Pm^E@j%#l!m1-P$^1!{EqgOCR&(6y>GpkpIY@k9?|qDf z=efCpijYRwvtd+2(o7z@_p~T9z0n&CLi&XEkiGrdHWGh>T%Y!KU*HB7Dfpp4>}@Po z#Kk2tZF$>6-8+%C{$O4##!=X59LDh^FaB6j#_NZ6=42$_d(S;05q0N+^@!4QgtyQW znU2-vOc0uZtn$S*&91(7;mnuuiPI}P_#l(lgbtIUaIz;X^g?*RwwNYiW~hw~*oAIh zHmAwu7fW*6eADZSXW8RM(wB}`LNF;YAa47OzxdKiV?tJok$H9`)?9!FI}(qR$VD@w zG>CyG1Qw=;UK~CU6A^s(xJHm6aSP$U;)9nU-@tVRl@ONa8R6J+tiaAZC45bfu6K*` z6sCiy^Jtjv2byQKkQ0W7CEsX%lR_a?E^g={%F!J+T#IdaU$SDqz^V%!Xm9g$&UR--?0V} z(&9dsKq5K6s+TvYMy8tJqDHdjYTUqPlJH_IuQ2-r6tZZNQjM|x?KDty1Xw%Br!jS) zxAI=zI~V2etgRkW)HyNMWax-f5qeM|ig_hW?7?Mt?PyZLO;;#iJKHKXtOoB zL9)XD0!TZDp|zYLm?Lb?X*)heH;U_hUL;` zHtmw149DV`;|}D;9Wp&$A>bi40Fa|a>F>XOG%GFTu8w!9-owOHgWlG|iK9pOm0$mI zAREUeb8@iH_%)51Hk&(jEHl?6HY9+CYnMPhx_~Kb{U;Mg6gkHB)v^;Ikf?y6E9PK< zcG-nB{d$kA9Q(Q%G*9D(_C#~FP`bzsHyEFlDxWoOoOeD2(+FbjA!OG5$x*(hJKVWI zLzi;_11TWs>;hp`t>r*SND7s*xIu;VOxWwH*^SPTN%W8B7YnP7GdpToL@wBiBKJT^ zN*H_u-MQaLfDwY?vXs}pP`$WLj3}^;*KvvWoK|C(Rmd-l)NEM$>lddDtljp~8x)-w zlb6VUJarVm#(ky+OmaLrMiqW1jb}Bn*|7Rpm#g;vC2xoFQ9Ipuyq*DrgaM@?RBO^Z z0*uom1u$tp=4v*4iba95){}+_o(_`LJ`V!Dja3_(qZz?sj7krew_S71)kOIw4KC&l zdom+fZE;&eYl%1!fAgHA@cZs+Ymly#2u`}gIojX`L>)rWu`7)!TkDNpboI5@L2n>Z zKpd(`eU@@G5ghYk*AW^NKQe>{vb)NizY+r{ZvC2oX;(FEy|a#QFmMB^21wREdsW!L3^DI?udfAnNpp8wgdf(;&n>8xx1{6bL$&WE=SY6FOt{ zZU(SpX_kt8U#R8A*Gbsb{ioHe?cz{O0OxUQowxy?f;bdz3u8`Pz3ey4V%}s1&?o?1M%7R*|q# zSP~ZeAt*swsMQ66Av_VNBucPXKIP2ytB7FB<=}<^ZUEgK`xx6_QzRrUH(-lW!=HYX zc~pSVFMcyY{|J#s2ruIOauk_E>b6H8y1z2@XvT^*v&&#B(}#>PUW&|{qn1b_@>x+v z-!Zq}ddtR@0cd9sRv=5nixy5ql%C03IpWCz*T5s-VmLRIp;FE@lt6DpZC=*t-j0{t z_fT~UwXBh>+hF~xTSdIV=L1EhGA9=8)iuo;=chy-FG@$o>%POM94bgUlovy|fP)1| z{?o=h`{ZLeiQ6%eJ%nQm|s7vazD)U3FW4!2z| zhA~kmCCjbu84Eh}d}PR3`V_`eg>b_JA8xmBWBcEbZ`7_YImj@w?LSfSkZ#=N3QOn$ z2OdPrtrbe2Q0Oc-8zZlVY2~lt(FqPfMU=Op0lVw&jy3Al_kbIWoUC6B2xC&zv0Zi* z2ye^?cPvgf{F9Y`s)7C9BQHogfNh7>1((V-Sn1$wOKfdS7myKQ0*&E^mTzQzY+%Wc zaJAg+@ks`R0q???;aGH9y%H*sqP=YZ5eiW9Udiiw8T0xlzA`~jZ@5c^y(Uru``FIa zYDwl7P%G{O^{8!dAyy?gGK@CyHJ%(|-2Irb{b)&ot#auNUQ@5LG!OF9mfkS#drY-z zC%zj*s9V0%TbGoS`&8Y&YW7~lhDaVvr315D+gcPPi$DOXW5SrB7hZjXV@hx(aMcMH zo^S)HDV8v3?x4OH323iw?b5qf_pHQlOiwYNKy(1Zf;VJ^dPr{|*upseZLGsf1Q=}&e5MkMATLVl3+Bx8c9*VqOzllEBi?ZCLe<>c{OUPDv zRy((3tEIVOwoSgmg!jZ#o@K673u!0cRCgvvcHMGs<;eCICJ7l}o0p_|^H$GIo7u4G zRUU8yXe#_wJ-5JV+(mAb!847?n+>w#&5JiCZZydHLG*aS#mtU#4pfAt$WgKA#!Cfr zi|N6t@OL#MQUvCypQ=#-s&2!QA?@*u>y9p(MKr3f*Z4SMXMIqR_#6fV#Xfk z<$84Kp5&Ypb|7Wv&tlz2mT>H^riL1$gRZ!`#hhsqUfZ98gDQ3M6zUf%th6wk@%2;O zkNVMEpbNSFVD64(3#K-2+E_BkSiXcj&V6k2FVhp>0Str)KrwugF=4$BJT)$e2wsC| z_tb%WpaVbvG*0fzVSnf30Z;44A`wdxyBPB50Ii-QK1pTxk#{HffHYb4PF(UCZcTZ+nwoGeN7 zPD=jz_|J{IdW(;$<%VB;Hhc=7tUP){dKu9-^cX_vX3j*1HZL)A!Uz%sFhhX|s0%q_ zaV^%}0veQ{#DomMJ)~yis~SwE8^0HtTn{-XXfTj|7xn~7L*iTd%lbPz+PLk01S45- zTTkxIq8HFoFD)hYR94!mR)rFeX^DV0G`jK+aQe(uY+!yemC;ZO~0EuT~EYGqBdy z#2C%vM3aataA$=Z%F-dzbyU`PFP~qNR)$;+8 z+o(oe)nkYdsCaxdXrvw+k8sQY^S2yVp>IfrSvCw95Xd0k(EjFVY8o~*@ZLh%f##yo zb>-(sB2EZvD5fBKgG)%HU?p^A^ZY@P<@1^!ha zWxwqkmVWT&@z)L&f)&skwB*(Od036_ke{&8LB$HcKEC;aVvsBgAFazq(O1{Csz+M8=-0sha$0gY!n5=lU9$7zP>-W-Yp+>D3t)ccw}Ld>v{e`G zf@L8~2}*a~WqnXiO7SVuQKW16Mj|2ieO?v+Bue2rF26hvtx?8+i3X`smM5#ruJ!c0 ztL&b>ssIzOKUC;z>Z+S1>$im)^!9njjSCwI0fvmaylu>oe)&n;h|dFbfDZ&GFSUah zX1Jb6CP>)o`8Tz0al!R>R-d#%C45HiT~P)>IN=XFItW)9@rT93orIoU2iCo$(F-F6 z@6StQda|s;m7v}Q4S*)_;XqcnfQE=Wgj*3LF@b^;48nE71?Uj|HTQ@;*)emcy>!jh z%?(ImxLTGuoapgKPW{f9A4lGH1I~zl!$kJlZUXQIyUJ|8hOpqA!4XF;U1_Apr8~{@k?K(7q3M zSFP?+68**%&`D+or82))1>cK+F86y0;K>Qr zfa&JW30G#DKT*zQTucdxin7e0!S2(X;axK9-EqU5M`fpjo?jYptQ$R4NTj=;MfL)( z(RrX4jkoDh=bpaPw}fBW@TOsVUSu@usl<#F!KF z7+$C7H*uwJVr#@rZrf9k|HUPRAyaDzZPHbyDPQdaF0TSsnj-sP#I^sn?D z3|hA*Rnbo>^pr4eHXHm`!dsHty@9QS8D)wjgkp*vQ!s!;7-JdIkE@9NhP4({h)*H@ zj<19dA_`M^+pH<$iqazw=fy~JqMS$~4>O%D01;L~eB6>TK0lbBh%_K#L*P9f+tzE| zT2_Q>nc~@EXpY?^f36r z!2xw1DaOd;4r26pw#s#FuD|Y@O{)UQO}Q^S+N3vj(guM76EV!`pKCHNh$+%Q44^>3 z0YajPe{+y;K!*rHQk2F87Ig40zgh^xxk;sD3MsNLcV)mFf_;(u;Eep0GEXal8=7)+ zqXYmP#&-Wn4qUUf*n~A*M!O!j=8^HkjHX;)tOvGp43{Z)ztI{_r4Ya>cdw8_&*g3{ z;R0|YC|BW+He#WeZ*4tUC*`|YM?P-S_HGZjL9@}r5u)?{nz$4jd`)c zonf>Pd_)L-^azLxvc4D3+?H+aqfU7p>gn>NiaDhgN0(!$hIcvm&j3JR0wyD)uyA9qy}js} zde{o$20dtF0_WDhv!{;VlO20F4>Ds16ZuefB=iQNNG7r_;orSE2?wz2NDn7;Co?@c zeC@cAgUK)7uz8D0tPUL>mN)=+JYckHU%hF|E1O?^Z~NO8P8}7$W$}&;i+~`~Hl5s) zjs1r39a)h&bsEoNhj{@a4rG&%We0Qx1O}PTOq396grwDCA8yJ(AVR@gh*^AQi*eY1|qWmgQplJYe8gfpF9*~?&*(M2U zv4^3=`pMfZQf}VsUW44|PFHw()_JS&wA%TH+GVDy%spK<@6S1hKW}>HJ)UsGyasU) z`A~3shu%Pz4y}k|EOM&5AH^O_oZ9F&Tu0H#_Iy@hBU-T`?KZmgsUbi_MsM9Yy3chN zp_{ntQLoiPhhTB-o)y~aVch>wazQd#UrH+cOT3~w_L7;7s|8cT`)1tN-`GI{P;kR` z!^Iw%3|3nhzdbx!Fr;ltvgd1`-uvWPlMtl^tB8 z#U0wAm6GDj=#_zU$BuZqfA0sn-`B23r}pfR_Pm#pr3+^iByI)enE3eq?@rzR*6}^e z@l*Tri6R0;0h1yqA>s}vGonv~6DiIFOvs0O5)jCXC2Y|<$BW-Mw3FAzboN+r<_B*c zd-K>Hbgu{UVoX9sgNA7|Hx;}?(|4+n5!XS2bf&Ml7fjqE;??d zfyx-VvDr=HFj9#y-F~v$3mD5C>Wp*ZKOkbYz6di;V+b-pf+~C4Fnx#9M=Yst)VR%& ze<4(`{l){@`ICvv#DRZtmDpRxeyDOkvk$0l+}z0sff3u`?cvUHOzRQs5Iz)>BqER` zd}vz8>&N|n!VO5o%UcV*krm^lHxO>*#R-#wU6U#3V2%@!7$G4?p%ya259P-)uQ}%e zG?huA%cs6LeC5I^AuDEY3YwX;l~A0k6N3X2g9FIzPPTXG2a>6o!NbYcB=QZ28Iy7l zLk=J)BW6p_Ze5nlos2`7FeBt=fnhPVD*WpdnMx)UQL=EmmVStFMZN?XP7Gb19JVTH z8<|a3(Il}h6BCqehYOS8Q+B6Ey#MC$H;;;ZL--MBh68{`w&pk}G)si2&;ru8juyTD z`jNxCGD+n|+ul31AMyi2VrP2fi9Oli#@i?MVedi87>EsM50iSy;amiyCJQrT%EX3^ zo7NmM%NLeh4=SNI(pBrbWF+(%E5RACzar`{q1e{$a~@akm2-Wh-2Erme0GmN+1&Mi z;HRo<_YGQ?c+s_<$}68|r@NC#Hm-_WkLYv02JvP^*2eh=ydd z0hk!oPYtuVgr5wUaR?hSVGW*+9eauK22b>;hZP>mq&Z88sw&Q>l+z%GP=kHP&z>@l zsG*1QNN@mSBBD{DH1guLbQFmPSsjn=P6syt4Us~iXAzP>^eoKotR3qJ;vK(v5ixtS zBbVcEPRi8OZ9y5~E2200N3Mh3h+=v|+Y8@e;s$(&h)uBXLVS_6WBCHHFzMB^TT;XZ zZTE%fgI~EucJ4Cer8;y5m;kD96g^8;IG_aUB>4`o;mnE&7=et~;3fXE_va@g zDtYr*F&QHEWye88LAU4|O-4p*WHJjfl6ybc&F`kWt6;#)$HEv4eIhCz?T8U^v>YcU z`>*y-`?V4cCLKdrJ99m%^M5iXm`)G`+<0`HLC76ENil7$*U;$tggBv`$BpoPgJ&5e zS8|6An3vnTdwobL+;FEizztX!WHK6m^l|^6j_I4lJCC@*<>CfzFUWgg&FnYC8kou; z4DU8rJw3~`Ep*96!3Tnym9jGzQpyA|4RIWG2?S^P_8az1F@MD-A4|FM8)r`$Tb#w( z8VwqdrHHAA2o$os1Vb{znN#2f7oZanQUQdK6P;>g-QqbD$zzfkv4T9y#P7x5JT+u7 zd5e;^uZddk4+=3inOP`yxmRcj!33!XULY=P{he)`;v?u5*b1h zLL0<#Y#W>u=Yk=UeNwm{C=LGQDI|?5Ox{+M9`X4HufKj+>^GR{AOlnZlU?&ECYT6} zAP$9Qz{uq7W5w^C+J}ohA|0>}pnzwYH+AfdEw2k(zvRO=kG^$$FB&4mEL!xTZ-k)Y z1*w65sWUQob>k~8^Lg~e%JCnn@Ka}mXWadrs!^i?pXc9lNtJ4%9Px%5*}oZxMp^AI zyqtL<_K+PO5;5tuw;9BJs(@7g?7E5YJF_BX8d{Sb+-TFoj^4mI1ye(d%)qn;voRP? zXaK}|W0$J^e<@r)D91|Yz+a9TTf_t|4*%RQBtNgshGE94qH^gC5$~W#B9;y&E(l$- ze~>5gtYY!OS40K5`%zgoPgA(ffPm2>jGy_#6L7`+hR!>5E2M(q=hcV z@jN|pZPW&TrdSDXKs=yTF)0=d%XekO3?2LgCJLfL9W?#&2DO$iB20(00Z`&fo+y-w zDK7;&NDoc9#*KaHBrgbsT%jDo0}(Fm$335b9?(KiDWCzkK_1(W-#PW#p`9jTh}nkb zR?ff;v?p%3@$SjJcmW^Ci`Dc-O5BdCt`cwJX9xB-}cvemb4IM3Juq&LJrC#(mD}vgRtb@HUj1liU*;zmT7<$TMjzr$Ev^t z$LvT^mpnX71tp1_FuQ+}3Q9E|8>0x7FeMoC6$#O4vo&dDj0i9=ab37QWLDf9+>iYd)-(ipih@F`4QlT{HZ1hYee`kKo+bD4>Z5=7>8c2w4mwSpS~Q*>|} z^0S-t2GOyW`5l9!N>d~FapbZ{vLT><2CMPm;(%1_dUV{Cx5tf>Q4kV@g1T0v%0ajp=O2iCWrEz0d3iL+!r|-S0 zRjyhb%IpSGnBAJ#;0QIPcTI1+dlGshb#Hdu(OqfpymoZNu%Qxa?52B_pYN89>rd|A zc_1eS`G(+zDKOFG3SA^8rdAi2&5GYfo@|VteMpuO`ED&HQP{!Sk*^xN{`5O=+Hv4d z-vg(84*um6ew^sdM!%OU^?!+@U;mdJ{a*I%Kfy6zqT|^aJ{$HK#XtKZZOi<@Z(69) zf1=M56MP1|=p$E7aNafOW#2hF9BDuJ=ACxr%kG!=hmrTEZ~mV~{vW>ir;Xg-eav!x z@}Y3wAHE0q={LW^@1+^LzIUw1bPSs0*Z)Ni%^17F5%sQL{tw2sQ@+C&7>|#$TIkb% zg0mS`n^A3?B_zw(KG&nM~~pXd+#BHuA$KQRb|b>qEWaRa-E>@OTWo{=%x z;!c?wC{18eo)3`NDStB_vQ%1Tt6EcyU!`)t6@zEyls%tj`cWBz^-a_C9EPw#r(^!x8JX}y(zB-Fbd(}Iyo=$4Q%HYCmRJ{ll&3fKo3g{ z^8*-EXnKR>DfnWD9#)`Zzv=kNOk^%2&;Tw#0O1`nxMc^Pvl=&2LIN_vaX*Ji2|&J) z9ktTLjYZVJy3B-P`a?Wm9g19(C41g0xflwD5sKQvfxHiQcWAp|WuS%* zo`uduT>G68QM9x|atMrwswGN`J}9AW1S2&u1cQ?plTS&{PYNr}i2CU56Q;drt|`V8 zDF+iA@Fc&6nKrnCte2UN;yVF4i{$0D=zft2xV&+W@la776+;?AU^j5t54&*0* z8xSqrOKoEBVOn}fwa^y|i_y8b2qX(7o&W-e>=)Pza{C#nN@qyMQ6{izQB zsg5ud-&0Rd6Uw~o)~JQV583sD+>-L0(SDn?vf|58<{su@Xpt4NaTnHJ_AANqF+;UhM< zB9jZB($lLR7TmxnS0_xNsdOfiRowj9mlrC0@yI*5>5#L8!tHNJOzf5&B$dO)1L`*0 zz~+!)lY%9dwf%<59@A4Qhbu;_*nKm&U!O-)&#q1hI$ z`8)Im(jFpdBzC4xwHv-E}#K)kaG$}b74|w z=eyfEkUMSOr*{9L7NoKij(+ax;%w5+#=m}0a09fVHqpbH42$qPlwi@ZD`|gW+SpOg zHMyhh{k?j%Zr!TVO?Qj75xCgr1|7wJN?0}s^|B_5)v8N83Y0SR4CywAfLC@y!`QU7 zV={iDm{YpILAY8MN-$vbx?@2KxPgNJZNS?0$X`b0C&tJCb%7^OQi<>Pil_z%j6mT1 z8#;JsBu#4fpdxT3prKza?-z-szt?<6L8_l65`_(J(A3~lsA<2qqV+6|uqXGVY7f+iS;BGeX~!#@ zL@tURHs`Z)2n$vkt zR;;Esz>V-V^Mx@93qlzxp*X{r5`NQ7k^|C$aydeZn3^E|6-^M) z08GMzxeUFrCo}59w@x4zr42`lv$7JmLrXw%l<+Cokrq*cu_&%6A{RB~8yN=+Q%4UQ zbU~BrB-$b41Tqij@xik%u3vl0n#Cw_xJA<&8Z=BMMfe>JCg^$JI<_Y@Aqor9%2!{qhdg(F7M1z|q(q`7TGF7eN zZJl7AME3$3mZdb0rVV(M@btrfsg#dIO7ACnkJ1|~1DS@hzZ7`!j}<<`V*71xT8$^6+!U(2crshHnw2*Ir8{1dULn4X>mb~pkZ-k0I#iTd< z_vgmT6x)-@#E%PEIc#9>+816d>~k`bInef;jJ*N+qzq{mL5IA;5% z6{Ld!A|MZ#;4};j5nB#C?{=mTOjY9s0J3)3!W(XA<=68Wi8rM`3eW+$en&UV+wzmQ zBQw#s0h40ZgB6*C1~TQYS&%(2G6#32Pn$GOa)DtdAlfu@3Nyc$RxI}#H#Y1NXFZQO z{;)Aleph^&u(HNa4FaI-hKbZG+hiHSW6J^uYZPg9CjPQe|OMC}#XND;yUjolZHFrbjf ztrTv!k5Z=|Hn5~u`BmF*prDC>0EKcCmzTMjV?Me6}{?9B`pVTFHwau}d-BtHfMpeSAJ!*ikqH=s8%QJ`#F44)!emC(T4=ru(0jN2S=Se(t% ziMSOoeO#*+*GLus0-+$&C<_|&JRQPLathc({PHer-2V2Pmdu%$zGD-#2R85`^K`N* zA}ukIWE)fv=m94MZa{3H-lhE~_T|E-aM!8BMVr^JyQ@R{DdR`sfcECm-DYM7k~_%$ z`r$$XA+lpz&c7eLe)yBOj~8Vp4Cvdd^3^xjUP?v`cTD@4U^2BXZ$4+z$kz`Qyp1L5 z0jxOkNon`iv0~1t$CQ_5V_b?I$XkaCL!-iOBTtt0FVi!Z6^Z~RKnET8(ST)+P>Blx7z{z1=n zPsri|lGW}XMGP`)n-Df0=NA%y?XQY$ITaEGH{nxBe#E&3F$|;;jM(rgP(%q|N%&B` zU3C*{ia60@WM0F2+BM?r&D|!%DjtpxjW7_xkYEDVBkpY#5Tm-9nJLQlm3s+keAAG| zA5*v9?_PYyx#s9e3#B_ym=tqL@^4w(ps@H)W8xao!;_yS+^940U!4o6i6K0kelFk-ty4}1$HOfmgufMn5Ee#u7G;+ujVe0}jVz-j% z3@mXkTv^vXFIFPPAbey z)aP^jKV1Z=(T zA7l)kL0DVh@&3ra&jh4@^98J1{D6p zV2LoV)Xg_sKjNuAK*8pf3!{QprpJe6L~qWD3ob~DD$Iz0RRnQ&H?Tq{_OI2SdbskhN8I3z$i9S^CBk9+VXwFMhaG4{ zpHz!ulwTRKm0LR;n3qFG5sP(6Cg^HFonrK*2c&ZbncrHMaAW{D%UuwsXI4dUW9$kL z+b$+N3Z{PMGBIxL?8#&IJL|1WmGgme$-e!Aihd2F8cA=|M4+HSb=B$K4g&}Ff9{zlM?5>|`JsbG z4juH|GXtM{@{#VHJ6=%PY#iZG2%^$|f@rjfwhH5X{hep*7|fI^;a>{bZ@j1S+*rdh zEaY2gt%P1~QodHh7m6CrV-4mvWEms4;>KSRnmGAuX=nV$D&Y$q zaVdJ_d6C{M&JvMV>c=viAnlO;oz>1Qs4#dsEun4t$iLP{frqMKwZzdyXJ@?;FM{y~ z3nj}j?+6{jijRn_?1mrcy^ooRCkUeTnarcI8v~xW;v)i`jpQk%b{+xj=vmC8c`i~` zY_Y%%;4AqHiK*yuBV7Wch-{=sOw9{P$q}S@+&T|Xh2KbR8o1z4cpT`YTVf;MfY`u| z9fblGP$(A=XWb)Ir35J*wm`x_VbS4j12>36&J1$CulbE=K*mSv?neYYpsB2Y#@;I7 z1tIbuB;J>I%ABQv7>|Iw9-?|M@KBHR24klG%Myew`$zF`*AWiAJl()@FXaQZDor)@ z(y{tRq)$Xg=d0mef-x;Y6*Rd{8}uBpPV6TUeHB`s9u`{>@>{ik$g==kw+CAzD9q>l z@oOV)j~N>WO{@5kVE~5mLNu^W_tofx?dv+Uy-g(8sEj4BEoQnH_7ITjD;d~XpGvd= zB)!aQ$g{LhMdE~uG&7xvroXHOkGvh{?0N-vM@2nPt#W;0^#&)5d}@OXAOaHlLBctv zexj1ihh}`D;%UQYM$VV6N1N9>2QUNdYsYA$F)~1^fvbe!p<23is^AffQVKTAJJ!-eD z2MwMhWYUHLu`IROaGRAxoEYSPs7TN}Gs=v>pa+#X6uk{mt6aAnv?-dNr zZqQWXLf_s);%}sj-51&uGQ*mtUvfmO!47&H*_oIgyH)rU+z0Y8aWN+p--~yluV|GX zCzNnPud;I@Q_MB>WDK9lm{6k8QpUFV`g#D^m7iH5PcM0*Ib!*j+x4S4kp{RrmVd1W zozW6+Ep(N0mvv)F3(ab+@^UMbmvS|pbCyNMp=MR`epEBII_dLLgGZuLJgE}ilnI{w zlLR!o@X}J3$iFBn3AdZ_nRD7wzqQlD7H-fjJQUnub`u;M@*s!sTv?LuqwHoF#x3_b zFnUC63v~oLvnau9b`nB15ln`N!B85)f|=dU<8(7JzxIk7(I1I4pn5&ymQD_YeuUre z`lPf4Ly<{aj0N#xbM9>eHwflq(HpvZ^~Z{QUxKnOOIDFy6;;k!p-!_tQBO~{V~EH# zDM6N8uVW3WvbZ54?l(o1^Gb+>DI+(%{RWkYWq!zB)d9h*_l=yhNCgS)1;jCDj7-<&BGvHPkZZkU2o@uSZYS2B#U(4<&90Vww8#PBbA*vzPP_q4lB z^)cxU-F3S0RySI&;H_N08o%lb^!2>H%`59+@K3K$zlFT7>vLTQCc zCP;oqOfmQTEUr=>lXW7jCKhqb>te(OELfny2~Xs?noogu&`1SpF}p>$@tv4;)%KKS zp7oa?nOhDBZg6AnX@g0P+{U9E0L28^jrU<>ZVFuyaiEjcf)v_7&>N&-W%aPAUr7rh zF7uaQ%Wce#67i;{yRhvcRm#d;>VnI?PA6~!pFxY>um%-Rg!e(5g?^U!=Lp1XHlCjG zu{ecc3F&PkNHS(2T0E}%mJiBFS=?YjCx$r@m9fG?EsoI1ej7{drXNLc*L|qng&56} z;I)8&Z(rm4De+64$zjcAl)w0zf_)lH^UC$3*SGM2lLJP?`5Dd0MED~0>joF#1b|0 zyK=Iy5%Uf4VBv2n=@Zq@i|3JUI_tv3jp9m=lPi&1YYnEA`-a4r` zZs0h8OOeOH=GL3DCq6In^pYh{3eKe3$nsxpE)C$dY-+E2fdL}RPOXJ42gR0X&(I%ALC_})D zr!cs&|2MU+2p6`pR|zYMjlt?&k^@l?$&KW&#tdKuF#v8rJZNHL|8E9ajqn`txFG_a zyh&xDt~F^G5P&?bsHHq+Uxf}KMg$4PcrWVbSsan3+Tg~nKaB-(;>AhCIrOJ2=&-;r z7Z_3?a{w{m=$U<(k$c;~jhUgMBaqQ`=Ac5h)_sV~N@PBxmwT%~%AwyP-w}qw-o&)q z5Jl!t5oeiwt3RbjdV}?v8HcP78xq`+Y&Iy&MRB50MGQy^Hk-|vANE(#Rgq=2K_j&` zza({(G{(z3vgQlT1~hn9SOw&wTE5X|jPb-on?~^y5il~NH)5NOuZP|-;l>HY`WGj% zyjt8=t61<+t; zRtBZX^zpdWAzgKXLOuX)AT)@4SJpBQ=IDlM)n3dF^MCY=8xR|;{@?~09H-mnn@X{SOvZB@yc3AZu?g~}? z_olx_4{It}us}KIR=GwJeJoW@cS$+Bt0nx)Ubei{jn?(0%FEt(-YPU3X+2I)TaGX( zX`iTP=6L-$^&3j!A!ZQM7D56A8Ox~0jm7JQ6)Nioxr}McyXakyDAs*ILl_4aZlFww z|5QSVqv|cYRjMvt9ue<~)X~C?@K;5-gV|5n4ObBqnxq`EDhPE+yY6 z`qMFOv)~2>DsTbBtYAZTzp_XrqlP0+&S}97z`@(bPt!x47Et<|^2*!=Qjtg(T|8N* z@nf~8H>y@s8xE?NPegqW+2(D-NOI10p>6a4^T?-wU?GRZUjQ3CoB|dlIdfrqs}m>I zjr*kikTEbymtW)ULMey*V-^GZX`pj70&CpU?iRrf@!>to*{a*G@8Vo{K1b_> zLTfsED|f%~pH(4mq#LZiuf`2#an^Sd5{hVz-Ztov*w=(Yfbs`An3#ml^R}VKWO-$# zyR#}hkwpsjM6dq*1hqp04*YK5dELm1juPHsbfC)qRz@AS@N`;>;=4OI;|Vvw8J><3 zTtA3saii85$r9-aH*_3MLe}VYmUQztq#(6RCjhTG{pm4qgB*_DHgE&EsGiI2V}?gV z;b8ZID1b$|9Neg())Y$!zAghK-;lSBIEQ0`MEykN*0V~{8}$65L^1S&y~_Pr>{lz- z^j4pgKRQm40|L-M@=T0^>)Y8~jH;bak8G9?O3w64uM`tj3pYwl4QndVTIt5OMO54D z78Oz~3Z~Ac#>(5nG`)fS#@Ikr_^pIov)yiN-7;oVAf6@#aU06+q?V4`9M~SYD11tR zn;w={xkEi&syo&y=U4ZaD!G7i^AqS-D=GiCSIAO@Qk4-H{kk|-wCic}S#VA<(%+Y< z!L$W8a4MM_<@I`^PN9Q9zreI*{%R=)!;JNpiO=+B_2(z50ypx$R8LM)2vj|8Ejy?c z+2Xpw*J0vo=wQ$ecv&J`mEDjWGBPo5gT|npKof)wj1|x2>5xff^(j=l^|%@^L3MoC z@$781YPSd;wVxw{K@Xd9=?!i7#l^H!(*XM1sZWV8;yyNDSZubcHN?sS>y-ZEl%ID_ zff{;`GGgDBH_~I3C%WSXQy4MD^FfXW1`)nYC4MHxkRD~oR%4z6na!LyI2w>Cn;bwk z`U}KLcp}7pAdGF?8xo%c{!5HH&Lk{pn{J-&4Qb>Ll3jxBy0(HFQK!`XPg_m!aAM&G zMuvPNJl30dU)Rk0m(QI*<|8rT$d5OVaS{Q{j21|G<+SK6ceQU*5;M&m4LEoIaJuEC zUV#tFyKtFR&dC`!x?Z_-x$8?=H(Dd)pHiW`yD1dn^|Ssk;L2ZIBZM^KM32k2{+yL6 zsi&r^>`!GTYDR+;VCdt#eMj8EMlKN_Or|Zv8!CnFMc0X_fpg|BxS@Z-d1Ps}SEVY` z2fJ&;fEsOp8g{AecQIqQ(2GF@q!CJ0o&_#x)`ll?7yMDOCp|1*lRztsYNAO(RJT{F5T!SPf75XzMPNK3KD0 z^UNdkUVbCnOOC1(p>-Tt-S?LP-SmW1~5DZvOqFVAGxw0Ow)U|gQ@IEwv zTq?NpG`~TD9U;uojp41W>7YB1a(b0yvRcfdaf9$mod&v9TISTk4Qxx`p;A8*&9Cj& z^`3USGUARFWgIFrGya>66JSsGJ@0hxM5=; znM8gSXwtNe#x9zj_NB!AD<$8MQSFl2S))RqIERgi8%SKeZQzEs`vRcY^_XOdL|I9G z^Sk;QD5|y=t84G{R)LgPuO;*b4!^hvUD(*}N`3?F({ZqJJxk*TaeJ7{n3qr5EJh<3 z_ZI)AhR(9Hbfv26?r>laV=)>CF0lBm=E)e?Y7@lafXPDS&;XRw7}h@ak{F+GFD34i z#lj7MSK_*us`CuN#3VA{O zDfNu-9O|OXw?QPuU@kHpOPRv#XtHA86gNF@8x~woPE*_85`Ak|!@$Sj^Mrzo3+E|e<4yPV(gm6Nnu;ZAtxFQrO#X_>Yj#3jT<|4y`)a zUn`NO2y?+~;)61(HExVuEZ+#B<<+-HkWYfC5@Hl4#ePx1H+;(x(^2SaVQ`3hjFcD} z$$n$*2gD1K(*`I{!gqkxQSZyU>n2%KjOM}jVKit3)3E4`&K0INsATtFM)(oq-hTG& z@~cv<>Qy&ayRk!!R&A@cZ0EjLZrRRg(Ox|KY$6H4JmSy+%8-4N1x z*?)P3=DI)^Rb+#XCA=+iPVAZxRsj2~q(bw5En3TZ4L#QZp^K=KB?C5g&rgCI7*Vhv zFmr7b{%RnE=XOGEsKo7-}?Y2jk<4|l)-*0}NXOk?FP(YhjXvhO_1%(7`#TnB*iS zAb__GQ?aJ4jc4cJEoCq)bH7&k-zpsa65hx7*?4xQaoz1+L4!J3sb@t9cLTWsagy|z z*e#@f;0(bL|Blh`MOD=cIgQeR=nxo=vLM2qKy2v7W6W@iZ9H=udc#Jaf{an zD-L=hdIKF3B`i8LjGabmG~no*6n~rJ`0uIE=Bw$S$X1UQ0CKm`w4`F~24leu7!8aB z!rl^lY>)P(R?RrX-P+ZFWqx6mny^uHk&jOjl?R?6HXF7am~QSkC1f+gSR9}6cZTrB zsZGZ!&;8yQx5Trl7}Y{@Tz<7Na=watUj`4Lp#`MG`y!T(jeNrfH*D-hF+qQ6C9%bf z=nt%2RMpf5u`vmY2=B5Hs}oQ{5f%yDz!Y=SG0#EWt&8;ubVWFdmD4KY7xm&gv16Yb zui`#nvKZ)9>1XiMe#5PJahfXlRr#mMg_WzRa6<9?u7=LBi>KYPvk1h zO~q}+4%HCwBYsW7ELf%$Fe7^o`44B zG?=4xLZL-Acq=b;qji0$a$WPktU{aq*FQ0s0~3YV0411uAO=0akwqNJpXHmR8#O%X zL%r3EL6eVnqbBPvasc7ck0cfb2i$-^;t0b6r=vwB1oI?K81X;7ZH%85?IbuGIuyn* z!VS;^rL%o}(R0HM+|BxpR*Ryg&DM^O`O90>{kEb%_r{Zp4Wo4WmOcG*OK@VLXjVm4WKvBJYlPx;nZD6WP?SjOxo)k6+m;=dS-A%0YL<*}k&fB*s}f2eJ*3PWwPLF(ZC_QFaP3bVk3LR^V_!%O@P z{a&R;l;-B>Rpg>JdSZyL>8i(wV^6+MQk6s$hJ5YEe9pz7W{f*y}ptY(Ec z=0>ZT!IBybafi1};|6-z&K0x!LJw;VJaA+4QH3nmW)lbMAz}=#@3IJn|KUbSSC|A9 z{d3-NgMmjT;^2d#`UE8y%+|L9jrkYlChJSuw_;U(ez&V{RY5sw*N;MD@DzXo+(3;2 zQfZB<#t>`>2hUWM&Wv)y6xK>2CNdJ;iyKM$LX5^oFE!>yDtKyKDk{#WfEaoQH*Dmh z=jHVY3J0C9JDG>Vs?@c$;&6W`R<$I0V;Z9CtO!q}?l6x(!V8 z184wW(HEev;mE9S-9d7@5H+-dxRLv(xKk0og6V9phyDBSj!1Lst1?8bevbXvKo|3$ z+c5j=@}!u$<$f-%Mo-UH8AO{rA-n^bvtU*5SSdGM?7211qBlC7jUHC_3_}-wb8(79 z)3w>qaKXV@CVborGI&J*b1pcc!^NanbHp2@{MS}UXL{VAH12r7z|brEYni!xCTlS3 z{@4Gaq+n{p%o03vRFF8KpO4LW;3)&&5KO{&G#m<26o5iE1N5LV0w#pz`CaY%U9_|W z*1Oh`pqg)_~D0K;W~m(sl|)BH?);1lzcJ3hDr3+4I( zjSpNYk9L!z{#R94suRkNii;ZKYAPf>94?+6?w~=hmg+jV1}-T0S#8`az6M0}{W}{| z8>1{H3zRd|1#kf_ffa-m!gvho6b0ojjKJ~4{7$TnNeW3US>ld*jC5MIC1A@-OVpJW zzzsdpz>VRH9sOSvvw{CKy@BH!PjNoiT=E$3VQ+eMIxhQmXIpan1E#Xc1Pb^#qW|&4 zJ%2ftr%O_MNX=b8iJtw!@`S5r`&_QK=#9?jL~k(t(XUNhC#KFeTg?kZw1vc2_bNvx zFYh|>fDBp{>q3kYNU>GX%DgZy_!n15pYxhlhd|w1+6}^L-T9#C@>c9p+24p7s}yL+ zU2b^GIo|@_0d6JpM>RWIwZ7{tBxz;8I984?tus*G5!VZH0^LU;-XcFq@GcZLMA?n| zP@?w`#2zrwq7SsR40!wDXFaImxSxjkC4;qEGbDWf*UJ zLtGTg5|-|b=`l=FF8`1`ToqPYqKm^CW2z5UmLbdQ9(5s5!I8beDn~a1$IezA(lv^XfC={x!><^Yw8? zBft5UqvFFt0wzcfOomY9pqiv|XG^f;F)19V5x@#+gcEXDPYbro&SO2U;<%yV(H%Du z-YduO(t64{oM6*JG<7|5nGw2UIbp!#2=6h*`#C(t{T9?81oMG-!yFwaqjh^rCKnII z0qy3_qUPT7tN1n7ztsKK^KhhYG{h0;JL+95Q7@2HjtWrWguM7l<$tMm{URD1Faf&@vG;Rp48je5 z(sB=Q0|Dv2-&ODtNjqW@PTofL+2IE7>*7<$`v9L}WB29li4`e_DOkI&WZLTQLT~Hw zS@4_kkCUX9=W)Y)hAcS+zZj8kiP&B7J#%as*%2#sXBo+OE*Y2gZ|KUctQ0bihZn(kXf}sxTRK%NbCF~#o z6Bb55&sIi}^Db8p%fBwH*1saU%6_vY)JX%V7RtwJiGD84(=F7v!I4bI^OF_1%6vJrMQ?1<{v_Z*(%^%nesCH}@a*?q}(aWxVP4MK(3Z}>7G z9c2M>jw07P>Uum5Z~4j7u-BdAej{~So#tN7?e69Of(os7*C)KV2p-KvT$2qJV(^o7 zF`Z!FlhlB~f$5CE0lmPS7-5hvyi74K016BjIYG^VdY2Q7pE*a}e`#|^k0EMty10&N zYc4V9GV6infv$jV_N9@18G((HXuvb!)jB(otkJmH@{|(Yf@k|7{$Hj4oFnmLhJg0ierEjYH>+t|`gC?D8#4GYR=F zW{Ffz`I+cp-AlP9r1i7-S7P$ulSMh!4kuMWf*LYi>^TYXQP*Tf$d7{|ACs2=q$D#! z-#U4-26$Ti4DkP|5?5o(eDWgK>&@6k5ta*@FtG@uM808;2#c- zIo}xBKZ?6O;eg`aRN=2VNJ4~rclJl32}T%AOUikg}p- zC+x}fZ6$MMhAV%8#{s~sEcjNvyiUMsQJN@UNZ*OmJ@L#zp|jm^8AhcWd{pAFkt2h5 zuV8*y8+t>!?sq{yKTnbH-C9VfRfDmrKdaTBrJ&O4c8|TmU3%ikL)}1L%3UAmUtA&Y zu3wWAURl32?^~)jxJG*EH-+OlR@Ptw_`pOCe@ny{deP_86C5LxwAzpsAOn~EinW-r z;{%_lw;eHWIFjFYWPN93{NzK1g{XIoZAVD1WGv5OS36Fq#5Ze3ob-)-&4_!gR_GD6 z@qpjP-PQOBw{Bv;EKIH*nCV!W=~$Rl{gtii#nr}0e`92TRy63a1=TI?jL|THA9m$4TwiT=LJ01{y0aJ#|=}-%oHCJ^m6zNL2xWT*2*l?3? zfKeD-6IFn$(`U7F3)b)0nzrnqI`mO}$ElgyRLb|#y>znfcHRGggD%mlp>Biwcj0{Z zFUHbrd1agAac=WPPHSHzodcT#fRk~4%yMxPo*5>2ps0|&)PwZ!kaAP-mHC?PWr+x@pB{b%SstP8|gp$rhKVl-!_7e8%Wsj z$wF$q{SedNXGLMPz?4dXX_W&~s`@AS&fZaN(t5`Tf1f8OI(mjHD#k!3H0ebj;9TEahd#C!stAp#u{Xl%hgmfcEc?LW7F&-VOa=w!8wMIK9ysYkIjnz_lc`S@Z4{3v0l@sL4 zk{m7u@LyA*d(ZRkFwX2?Map-6F&{g~hJ(I4{-es_Z;%(mSY1SVVq?=Gs0DI6R$rOt zSejEKDBUL@wd&L@5CFy#FFSfYtL}MJ7Iy@9XkZEBKn|k@S9n;G{{F1D8Ko8csUq?X z8Bu>o^0MawjK{`FsB=gus4u+y&SL*v)PHD0tQ}hvltj!;YkboG=P(Yb5LH6xQ8g<} zJv(1@c}l`9g1t~v;O(`y8(jyfr)Npf!jJ<54mHw0QHyhgNVxBDaWp3Wm~IA7FM%dc zprNM~k7EVFIE`RpgsG1_CISi)uC2~hFK<#rHf#5=5L7(9Rs(T$0Z(vaf6iaci&P;; z#n6{n?sIks)zPwp0r7*i;g;hv@9evjUm=R1H;6DGD;@N$*?ZPx=Y&ei`82T4ze@_g zW;d|LnV;4Clzakq_a5-r6{Vh47FTr}WWbmm_^++d#gdr4WQ4!t*#1Uk76M$!R_&^^ zFv(}?Hnkwpm={@f@VeJ9G`lK>QQI*e9208ZsUy#37Utg99|EEs_e`LgtH+b0=$pi;t580&UP$S-s#*wQ0t+PvHF zPZitXv1`=agp$sr1KoSi`WLqymLUR+V4p)Mvj}7qrX_}MJPjjj14@6JkHSw2k_BBa zYGgb)Ma@f;PdPtP6fwM%{w`KOSSRisqMyZ;oVb^BZe4Gk2(o!BckAJQeuc(!3mn51 zR2sfO(xVWUkA(p9#cS`7D6-f&kQ2578xyzl-R&n%8NX=3f;;cHt$Ow94s0`&Ed}dV zZB;+tdUfmE)Vf8NuJ`rq`|$nUyWVos4Hwp{Yr{ZSt>kz0Rn5nY9W`h6%*O}zuT!gL zyF1&gT(NvvP*BhA-D=mW=~jK2(~986jyI(@;GwYfLNJ1zLx+b%RMN4x$WPcg;a`@* zj;?=!7qphn3wo8}tCan8FEj7Ze3MnTP8-$JCsV(bABlTk=xLD1J zHsHuebKh;SFp^||(lbR*fs&!_Hl*FhJm=2IV$KE5S=mEgHHh9x!jwCEsgXfyK`dBd zM4eCx9}BP~eJs(I*5@n#80^^$^t6USAeZ;{gtSvuPDt*4~lh!fJbr?b1H4?T8o zFLirYF)D_J;S+S~FZ_{y1wHj>VdVQL^rg44miP8iIy@{{!vHR}7Hwo9=>Ci_*JW{| z)GJ$EWSww<^}Tc(oL%Hr&Nc4WyZ510Zk_ytD&$2h4iQ;jt$M;x*vAMhGxDIeY%>w6wG>!JAvPxUO}}77-EQdAYe$ zCysB@*w%%!T->045c6tn(UY$@dJR=^@NVy^0K$@fkx>)DUW?m zCB7@=iRL*Xh*UEv0JSkT&Vd=x<4q4R>w7u5=`s~^8D#wCV>te+XXZ-M0VZanYsI%^ z#ZGZvW6E38#2Tx*Z63$4``|CR0E-&JCVZ{7y+-CrN9O?|n*v?C3{;Q4DCsr(j1#nM zbr(#5tU&}2+jvNNGT)Q~^Eh@mVtOgw>`BqbnSDq%dhFhi*JL3ZGF71mC&_|kSgZSi z+K|Tcc5(08e-tD617lg50Q=CnD)}w>G*qQ&0}BVdy|TW*TVHuA*OgmcXPrp7NxFEu z(Odcd-wJi-SZ{I0bUfo9A0J2ES~crmP_ItyTFiZKQ&`{AvF+`P0u~-Ue5kmnC?z>5 zJZ#&x;H~S{uX$<0*iQG}bLk}wVI|I}-Sv-;kI!8l+xZ79+P{16k;8{}73Sw;Wm3bI zjTI0RxMi0TR0~vIdSRoC2j7!qgJ&u zWvZ^n$zN}{!FS=4Srb$K{Y#Blf-+ZS{veV}_!oT*D?1&Ch=4*)Fm==4T3bIIvs@hWR)cCZ94}l%vDK6?o z`pWFFvfU`TcY2HTXePZ3CsuaQMgin3ofP{ zV*r9rc6mZ2fA0Kb&f3iDt=h`IulwVuQ}>U@X8gM=M2njFn8_6L0|NbvcJ9i}&e;|jmYkfFo}L=CBIxeBJJhS|B|if9 zy5oj54mq(#jvg44pig;uvn-n0&cPb1*5Kh53d`OrgUWq0y3I zo$Wu@j?&}mpI#y4*}Qo1b|hB37aM@uHLBm)w#}qzlbQ7)!CNA>g++vgEnG1Ffd{(Q ztyi}cV(5SC)vbGfx30lkHWwBYY}&BC+x?x}-g(CpkN4jiyd^a?W%`ttZfJQO;>)u4 zf>8|{HhiGl18df;Kf3?W>nC3=D%@RAkiT#Do`jg#Q6q+5d+jwIX%%j~q~XQAdi4xk z>>n8sk(QPU&$4mdih%X(CGX_AHz7vwfCLRr;rYP=zq3Nb0baV#6cV zOMcahFS=;>b3?N-Qdz-Y9QVSg;m>Z|upv7uD=;YV*4uA!c#=6(tK`@6`fL5?&DytX zPr;tu3l_{9KX&xIh4YhAk~7lNM~@nL?bXd-eB`-hHV~j%wW>GYeB*P^4qCG^D13WZ zSV+jih4Y^s^7IX@Taodz%pJ}Ghr_5}xAs+6HE(r8tLv}3u33{N4KA!-xpE~c^n43e zj?HgUM4lv>Y4pdg{Ufnw+i~X6`KwuoPQ?8J_n&O;`rl9g2Rn-Ii0!c)%`g6cu!a9O zhfT~UYH2=FEXN)98;?z>MD}4%Tix0O%?|tarq*CYq`(ExHa%OK-@-rGXLYG~8b%9^{w+)DxPwr!p`9l45B2$+(h7^-=p__C2O5mhn?C~xVcZtZNK?<14!gM-B`eR-<7v}xIj z|5x(A9{67m*z|y-*>%-69;%!Bd#$|hYT>ZpX@f9m+~|t_1NzOKGkf)l6`M9}SigSV z)Txs@b-L%G3oo!C8+^}AnTtm`SXB1jD%DlBnv(OB@Ew>V!eU^Iil)B(L&n{GME8ez zz#}8oSG>#12Sp^r1>q$sGaL;`nMosXl?RwE~iT0yC>F zORu~*p=v;Sb*|BOElH@pG{bjMS`GhrzaH!IU z{%O^gW%&dpT0d0|$S7%sf3nZQv|1b&XI5RBYPK*{b1fRbX7Tr5Qdn!=Dyr`UNFd2}m#&rBy{g5|B}ilx+bSRRYo+0qMR0 z>6MTS@K7nt)IU`fR|2XmN&G zoS=fzRScCxTzCvZBbwiXy z6{gaMY6(3PYrdU+DPB`I!#pm^kjnz%)WZMA-dn&&b$tE*a~CJLl)BMUr$(XB;_eQ` z-KDs@1&1IB9)Wlu?yg91uK}gBg*xTiQlRpGpS{Utv)N4+O8b1D=l`46eIVBFeeMmRS?Q+h@+_&O?^N-FaAIOTJkSNFs#@q#fq59mo4-9YcIEK-mFWP&P|#$e7^eArAz+3nEVh2j*_J$ z>K7rupaxIWP}OUySLz7{pl&;@Ne@%ofm*wvg1G{{Oe!$|#;<-D3`wKhS(OPCiS z1%P&lT!E7;_CA1rK>*{wQ6xAf-d*f3;#m`QUH-;kE5rlTY!tw>m-L60@u$=N--~4Z zS$h8;#SZ*cBJRghTe6C-h;mvUYFZZNv}|9|B_XAk?knmXR?H>3c+h7>GJYwU^_MB_ zmecOjrsWYOpb0C2N;rp=bPnYnC+85;nvjxSCyK=1ES-9%X!`HP(tj_N@>|iwTP2fk znNof&nenG7?RJUuKjf>FTgAh^EaG#l{5~$ZGR(BllNw6u|(Kc#kQoD*pyy!V`|aO86~$LFl|dKzw6*j!KdnFeOoWukOM_}J3wUQ) z&^yZlIchDFkF}QWnvT()(LR6Ymdi%a??0`pqdJLQyj>S@2UQvsRh`0JB;(j)Q2?$p!%nI8x3Kkt)r$|voFU)CxAtkeD(Cj&Fj z2WFl1&p5X;;fPyw#-@k^Tcff)6AyVNX77qSx+6AwX;9*XJxODIGRMW88K3?2n5-)! zQ$HJ#^7+V=i~8S@$(Kf^$T2GA;>fhiBhx+`o_1kG+NUGaFAqz)I5PDDS4O5^7@2-) zRK}$->7S3u`f_CYm1dbgSIYdOSjO!V8GjZ}|EoyaoswyPm=bR|#au5Ea;@~@b!ANE=i@TJ9-Q%Y_moTB;xG1zy)ZicvfQ8f)tC&9FXbfj5_fX{xXds0uk^ot zvc7*c^VhOjcT8!2l}!J$NZRevDSs48`J+V2A0<=%D4P6-Q_AnAls}!4e=m~qXX%vR zizS=CO8%oL7x^k#{>oR$Ou|3!{wn!*`c)?Rwo~l&(mrR4x+ND|6IN>Z-kgcOIM``{ zFO!YGOtUiU;!8zdDVuq-Z1Sy21lTFCpvOcD3dpJe9z!Z18c(URV&8;AkfV%{1=1ls z^NM=mEy*4ZSpZ|%ystQB_MNFlI^%_;&Gr;8r&VD>s0qhGScYxcl4jKJQ&C=ppuD=K zLcf#Lhfo0A@bRfP4)MVKO)O;?xhxN2p?iNKmOFlxh=WPK10^=N3l91od|BI^A}%&< z2C8?Os~6uE*DYKyI5@$nmXxV;03<}=o+DAyy+6x6K9z*;a(t$Ia1g#~4lh6)67!*( zxuI8_=vQbV-h$f?sDTSr>;9^FcR_16>ZqD`Q%yQ60@>Lskl8xz)r@UwMYv=Gu_`$H zvX%Q@RFT&up8Ql-8Bf9p*KQ+NDZGG*S3?-Uy6xX;*W1n0BQ7&FIXgQ%Atp32COk22 zvdiYzM$9ZXa&gINZbfFg7oFu%cG$vaMogdVvenyXm*4i4f!mye>>u8nmrNKlL^RA` zI6-mfqrrgqU63Y)eNVjcS7v)OTd=eJpwWSzn~tZ2p302mII}B2F6HND$=B;8{8TsghlX+A^Emm59M}1l z6XVX@>+&tXYLNV&$CGbsgrH$M=}pp4jOH09j$h{|9P#E^%Ccgif#LVjXZWYe?=zf_ zw60@h#?@|BX5Q}do~J6r{!k|UM){08PPix*Ud*Y`utacR#Ka{W+%EyHt35?99FZNE z_HQ;4oG^%*uH#gXi6S+OTB#;&7KdwWz8ezN&Z8>iQx$Seg?*>OuBphcRK!oh65vj* za|M|G@xTSS1T~Q5W~K&u*YtBpg#JuFJ^rH5y%v2{zd5D_e&QHK zgLPYcB6aFVKI&xIHaY)8`CU|frWF!=+uplqJy5NS5wx~d)C=evSWqEQzl)n2pr&~~ z!k*Y3!0b5RO)KX%Y~HFYNfrXH;C5<)l8;%VMy)6^Xzu%M zy11^GnI7($5w>amQ&}@#ViHxYDX%S}+C0#gs`1JnRBdL-6 z@XWz@ewbScRmG-6`LA6*ziHDZWq6PCeO1Uexo^49H}cQikTWsNvymOjdK#D?$~|{y z1FR~isktwa#)IG`)(TD*wOitk7wMuvqilpm~``G7)FoBo$N<(x;5TIHJZJ+BC~+o+p3EYKeB za}sTgQ0IV|p-a?5$QsX68dfA$B4Mn^nq9(4h@sVb%rht?o7Kc-jmJ9U0CpNkBq(e6 zAA`0R+!tKM}m7t{E4TECgC+jR`{TAv=eYk$-pdvqfu zWS6hova;=mNbFO~g}^xTrrap}lDBH)!(vN3G?~e~Tbs+KLnM3^QU{d&rOu3m~K|Ku80ogf}6ymvM zZO?8UtJQ0zaG6JRl{het%`VH^Xtw3Yf9;&1W0L=Ck8|)rj0XEVq?mOjYH;R{*c$?)O5)2sLL%6%vY9ULn?I4Ls#TGVtwS;=WL&_yd?aWr5 z$0SS>3kO+1IENo|Xab-?HaDDk#%j;4xFz#jTC_h`3U|2&WEGRXaW&ybG$TvVu)Q8pkSXF z6514&>3#|Xqy*7>wDvg&m za%aQR*|57E%=?=Dr>KNgdvrcozbMQ@-bPy8{BM3_e0ZlDQzSkHQCzx=uHbdNe9G<0 z$-k9Kx?PC?EQFEAZwnTB#Bz-}LwK1Lk!oYQ+H*$Sk-&TKbNzsAU~js6n3*x7FLe3t z0h55k(M|Lf!l~l6u;Z|V_yGCL!m-nzYR@@N!jUuNrya93tCJ)R=UQjCznD0nacYJ zc4w>SJo@lM3ua6>niO~>HS|yc(XW=$Fy z>F4(GiTxiPONU~x_F*l|{6^y0gK!;*2q|zBP?GaUQjVrVA0p2l-tVz>%lq|eH*QeR z$9?nhtoTETp&T+;7J8iFNBYbV8t85h1$_^Uau_5B5an?LW*U*qc<2 zbi^hPlb=);{0P>d$5Bx`;FsE}f7#t99!k6Elzh8d@~=fxe`fF2NRbwFNx>VyPP17c zzZ>gXZ=}s;=;Ov;{RBcGWFhxpCKFc>VS%L2SW9Q@5)o$KN6Pn;99m@c2+PsnrG$U4 zk!YTPInUNKYh0Z~baJGWtt1Lq>@UPJB{~g4chXI@_fv^r)AR##l6psKaqJ$#)U+C) z)+LB%rO|%gg+UBCfk=V?#8=e8Vh848Lh{;{C8p=1dB*`35e5v-oX8l&awj9n<29@v z_2P3+d%8LwN!|zDIGGu_KQVOjn89M1LeY1(*|~IM=W(J&7wt8*Q>TuZQQldRUIvx0 zd6E|9v3|+)`i&Zu8n;Gy?w9ww^`OYbeP_PYqT`gYgAzl%4kiS#>OVb^31twLBRd&F zVJ0AkvLg1QqiGjT?ME1aDT2G0J7ZFrM%}dLed;%D?z(nKVu**(6AR@A_2?{zA?84W zk|P7xI?r#>ta15TO(iU9=*9b#S&U(MuqOqtQ>$-f^87va!>YpkwH5FP7BzGRRv(*Y z$ue5wu4UIpmyG+iQpT;SslSv;F*K028fj1l{fT^(6=6P-e-lL<&gO6t#O#guQG;71 zCJd@DrZFMH+_FR{Aaom9KL`bk;6s$QUemPUOEhwzqn3oK<9FC@4MnLa91 zvswj(e604A)_Iij{Yc_O$*@3zevrhqq%|y6p^)F+$JgjRAXBSxdHCL}7=-C!d@No! z!B=-=OTY(|Bn1?r?cv8-r;UbsdBPg4&vaF%b)MT_s-t$F6jM~0DtWG>eF4}I{T~fk zk_byYt9)gv8{T;BrG0zcpc{vigW>iw;zK5k9U+hgQAFo9m1c_`?+%JqZRGOeokk7l z*K2=lfK6IqTs#!#n;q|$61Ll8^YYmfhjr=Nxk0n$^_sNk*s137yz?nGwHk@V;Ti6Kdm{)yqfp}xCzY+BW)N5@C1me;D+)F5A8 zXY-IpqrdleqR)Thw+xzb?#PM0cI@3LmFK<=d-vSa^}kagzlCmK)?~*gb;#?Diha1g z%)ZMNlW#et|5A=Hvjwz--}0@#5crFWB_tyBTP@&o<$XfAW@s*n!aTE;&v4hqtyBGH ztL`7l7L59o$Y6rf>>)A*absSpEtZSHi}1GAR!3-9c`P?O%Qo0(O zYbKVFneN(v*(&HWja)68vqZD>T&_Z+Vl{1Jyau-I5o@rk=yoEn3bTO$Yk7zuWGo5> z^m^~SkrL){Bzdo__qc%l3Be0yO~K3|!O4w@%CO_Rgl>7Zmo{p7)fTjRzew^!c!+hcg;}PV!X}8-7ug%MXJzbK6cO6df*Kf;=_B)iY7j{C|Z?OE$ z;t7mL5=7vHnQm|nLjo(39PT}1(&&mU`-tH?@s3Qk>06(!)nM|tVez5fC$r+eqel_1aZxH#fED$86N5Zc#gr+1Y7GePBrbNz1tcwU-Xc0?8S-;(wlJf?5kioUa6~wEmq6- zshK+oLJK9)gpWNUx)p~2YEWR3kP&@_BD-~dYd38j6S(tOTDVRqvg7<90*B)K(jt8h#E5E3hOrar({wYTU4!@=r_&wX8E#6Nn7R{bLb^P!leS36k-=JZGm+LikdcT8!f`%@Y z_{IzUh08_M!g({`vhcv7lyhK)+q;LEZ||$WbG^`2Z&^8u!XWR)^+FqF^H;{t9Xw%r z>^z{g8=}fqRP6>=^gmxR?bpibw~C|^Zr8Cy{jh z^|2%umgfg@6{nDz12GU8(W4$u3rh+0`1oiV`i)~5QAsf&Co`kbWEyk>1MBGnahJ{< zJh4Av#o}32n)X!g`vsV%PfnHqyc}lY&ZA2=>RJ*y32`GA4BC6N!B~L_6^Lz!d%$Js zTN2n{*?|6;Rj;>7Ca_~`$8w43zq*efT2}I}oukJH`&45GFHu5k(zCqB;Sx!|R!#k_ zC~68v+Q0&*zcA8xg-GB~3P=w?NWToP3H zmxVl$XOW);*%sy>Hpa?)katn!{iK0L(}paRtPLn#S$bq}AOQYj&*i<%floXX(+s^p zN`m2Wunl10dQ6g;j84NKiOggS#8xZaXu_k<5&2mx5#(O%I7;-2!xxIt96GO0EtzJw z#kPe<9-^OXs*pj3-Lk+#PTww|n$5|QGA3`q2)lUBk&!El)$dfZZo@@WNBFrdL9{t@FyUaVAL2=NLI7MuLa@iM zfgd!eUvJ08m5?Aya$$LXB*8B;!jmi6<{zS2J)E>JGs5c>N{pjvJ6+fA*t8nG1VrPk zSwWf-9~tcHzGdCgwa)XVd^oCplO}4?1{HQS@2b2b1UZDb=vP(vcj(i^(uy`1Hp!lX z{hwHy)X5uhWXb#!_vAN-{1Z>Htdss?ONG&Wq#n>yUB?Q@>g^`tWNMf^K#pPVWFf2~YV@b#ku-3gWJFrvC)sui z=swFW?Y5-V{CT3~RR_k(1Vc zmWFv6oZH(?)e|oY8L_wgjViDUql?>X(8fCgt6<67ERdr*ZInx@7IIblaJ>ZmCMnW9 zPc>+P=oyT6!MkH=xSs@BA+TGl(k1hTG6UC&F}?jz<#AAkJ#TsH@rU>BTEo_VFwXaI zVjx7|a6$kJ`DjXTM&ur!oh~ybjp@>(=lgBDHfq&&*nkh*me1I?!#QyK^0)vuG?qt_ zf^?d|ueQ4^snfb^(S9@Px9x)VBR*vJ;rP2YSqswPlNs(I`j9w3WFjFTNx_E_f}(;v zmdu^jxkKx<%NMwBS-WiEtchbs_V3%Xb;m9Z+jOkivfFcQ`#sciLNOv7?)gY~En@`! z-^sr}0xVJ(HjdaE+5#U*5%^*qZH#l(urEp^->Q~UpkCByt1@b+aZl6Ln6M(S3bk7j_*x@lqt6*oh{8XxrZBhwvo~tCdE$w3W3nhW z?0L=ST^c!`N|Z9S8=~Rr633z=Z}JsE4}x|hW0xa^HbW8{c1ItgZOu@=CzS75G34#L zBxwYvY(=1ud~F4jG8znO4)6dFdcA9;&4qTM0Lfp9l~Qeo!X0V8p9z7&Fp7EvSp&B| zvq~_h24Oj%+qZ=SN12QkrP5=0DubGpt7_^q&9rQBYBRZ_)Xs zHhmuJIJ{D?DJ4fZ7n$vezpco#KwdG@$zdONp^t{mY4h(~{GVkx{+&KrK84Idxac-h%$JLp?|ghglP|Dch*y1?dT36f*xtnW zH?Kn+jK^7?8yEkpPX5srLdN$TSKTJ4vgKKo74}^ym42gY^1W!A@lVGZ-hQ}*%XUpu zDc@_vG{EQy=e#9Ng?wRJ5+E5as+w~Uh^X?c>NHxpCacgZ5+R|R+2w6fpbGw6+0@61 zG=v4@6IrVGn&DaIc!8;Sp_Vpxx2#i`jl^G-Yl_e}Lv$**s)`SbtrntzN|yD`vIUhv z%zQwq^tWj*F>!iilcrFcvrE0(vY^T!n4sK&g`;moTnf4%(wxnLcMNou<%6xT+jzD6 zBaxl?_`wv(HbU_2{F9RkN$f(~@)x*{$`9w!GMVqGQ0>u`OJ^TKLW%R!i3U8z;lu#U zvhV{2>A;GLf2*I{;+Q>aqj#@?ZiMdMfRF+j6QZ$e!{Wy6x+sAClD95*O~w48p{Jd+ zrEItHuQuz_sdMMCLwZjdJ7oT>iQ`8M=+d$6JB^w@-nx%AXn{80N0Mk`)H8l-^W^^K zzazhLAp(3C`}_I?0W6V`hdC^w%0pU{?gCsVainX8JQ@`T zPaFn+Lnr!YmsIf; zS`Z|SpW~K z9Y%Y7XXqk-kgc4oc`v-HBLH%?Ei7gEn~GY1pi-FQ@73=N zNE<>B8@B)w%-(RY#4o(#ka7bfsURrM<#_DEGlzdCtQ1H&_^U+Fu;1auhA$hOfMm<{ z`&dnL6S>C1f@Hmp!D}t{7bjeTQ9xI7j8J*+dbqmSrr~g4Z*WN?goO<9@5=3fsE>f^ zS&qLkR`}t9h;vZ$Q>?f)b$jEEAD{w7JVCz!%K_cs{77op5txu%XA=~s5k8xIHZP3c zvksOc*2g6=(A9hE%Jt53nsx1~<_Ac8279Ydnr+S*!8=6>!#O7$5-y1&FILLvh`ke; z@cH%>dpGC5ZgbG`-}WzD`JZixyp($(zxD=%dSb3?%l2x3IiGkz8#u3O^f!gzzVz3} z(~S{`_(8l-fqxtPKNGlA8DjT|b2=i%!aWj*0~ud0Prst|oTzynQ(<3f_}atpV=e?! z5oy+dSxpZFXZ&8lOG9l+-=mO%T*D8^0tP1^_oKLlVwJ#mqtF7g@k9-A<%=PRM6T8a z(t(-<^Cg;8FsBfVA!Y;#^UZ$i%=olB#+T@x?CQmkH9o+jCvpO%fk z*WVUexz!Dp&$9aJUOzs-s{ZL--)q+jzTaNQ=|#d#lUur}{b2A^TC4t*V!kONo?o_J zy46MM)CU1`IU8uV4^`>BK&F}v#CQ>KNiFpi{ii`UsyvQslG>c8f-i`ug1VsNND~NB zwrqlCST>dQf0dQp2K!k}j;K$v>9p5~g4GDp(A<+te|%3;f%uukL=*GzFd zA#emwWE`*r!8;KSkv8bfuxuFWm+jf&+U!UnWLd!J*CwtE^xTXg^-$uzW9UVb_F(Vqve3 z|5jU~hvFU^Z%f=t;q(iJ2guX(Ml#%-T!(xp7o6Iv!Bp8#6RKP*N|{>x98R0XuK;BO1~;e-9^KAKU$ z0)Mb=GioC$Z^+jIF~VBXq&EIY+y}6*^q43?Z!jEKsyJ8Y zg(ys$k}9u@89#2!!GvJ2;<|D}s7FG;zCBysZ{1nKcSL?6ydoxG3Agf-s9s?#jb7Uu zmj9~j`5Ci=EaY>aUrm?Wh`u_cyL&_LoflXC+@( zE5pr!Aae0kDE+FxAv-==1)vw~aR%LBZWD&I!*H?2#$8q04vHHEJ{0o^1!>OsX^aN^ z#_n?(xxyhqHmwgfmkE14UUi*EX)pkU%cFzz1x^NV6gx7pI0`K&R3QSam;61=e}zWk z$%|3~Xx6Gwaau>As@t9OKL~z|z+#wbNVrVo_WXr#rr^>6(C#`SqTl8WvAv?#WtU$V zC?STBUtBZAh>5NP(~Le={Ke)nZAbq4Y$!btquLHGx*@J}kDgi4zK0Sa9eAh(aF|gU z-t9YgG3`7gbct$F4gLQarRm@*kb%0F^^$)U{ja+MO(rS@i6`K+AAQ!;cUrMHY-D-q zSmBiIj+iaj?=0~Z&jRsUs3I?_HPN!BbbE?Ev)S~~nl23#*R6o_rh#*DkJZ3rq9Ong z!qfF9vKD{?A>$x8I9{MIFT5>IC~TJm1EGUD-9Y((rj!uMg%&VWlidXMVJJl{2PFS! z5Yz}$3%^r18QZgUaoqrt-AFz)>4PX7K={?D&*c_a5l#PUyU zd+$+RH;=d_(nPNb?2=lGe$`@#?RJmPWkba3F=|zweU_*fqltQPQ9|7^+YS8&^A^S9 zYwxLv>m>3mq1)K35oCz4M<_7eQCeQ^?-D>5LoLpp1fy`g0FSKS$+RR$wqQC=RX`xn zz_80B?-WWmz-Zxr2Zp==BctVbN>lHMGNjj3v7CYgTOV(Z2xQ0lQ|S?L(g8`rQ*4%Z zS`ocOo9C_J3{Hc4PnFm^=v}98hPIm^AYi3*2TvYtw1jYc+tL+SHOoR&B1E(96Rl0O zusshy^KSiyK3i8EhzmRvZ zF9;t*ZxWRFUlc7U5CsF40Ez>5q=1XsTrbtIYaUhv-UEO~-AR0xt{ke@_(F$)lB$Lm;UL{8c;VQbJp6>~ciV440}HP0-}#n?Ik*_@wMS}r zKxDz*BaG|+Bg4qFCn^P;Gdw``nojV>Mth7CtA??tVa`!Df{yV0MC1#U3Gf?lG|E}y z7#Z0s*|q&Y7P?Wdy~16>D9{1SH>@&X8@RM=JEVb)Y~EWV2zJQjoYe|ZS|6`<7$!NJ z94{zcX#-Kd{V(LGSoO&naaBQ-@WeqgS!lsp%4mdK$}t>R_MO`F3N7`wT#3J-)AH9V~Ga@!HVe0?~dTu;i!Xarxg1 zB300*s@0%8bs{Uh(^7*)K^K~@RI6Hf+|b^MLE9h~IJWBt1jK*aidS3pRJ+g1!m)go z@z8rcu^K>zPCp=ksek318y9Vy{3WCkw3q~j&HZhj+xQj|Wd2IRYv||ZU*);Y=5O;} z)jxNI4#xbfp*F-2@K|+IpDA~z zrOg=v(STJBx~!pf2M)a~1g2W-DTD;9-SRGPKs-RU_<*x&!g}$FwUYOp%8O?@s(`R7 z0?Q;q9d0ae<9o=vaa|THVf|1tppkH7r5lTa(4#H-i#idgHrplb*JnO_5gmkXIKpob zJ`42-+D-%ztF=RI$Ozy$#c3rM62?;i=kGoxQFI8|8byq(AR)q5UCwEwCK*qNs{`|6 zX|^`HP`bRBR6@T}?uR9CG?;e8KzR{&$Znt!Dca^s;bO=)5@W}zyi}KA)JR}~ZX|Df zGn2{m?%S`suAGaILQ(_h1}7ZJp&oTxb|QtY44poD_MT|(y1gHA-S?83xm(R96_mrr z93M5ur`4L!t;rva*_B+Hk2ChD+1@!d%<}Hy65+f5v&p+tA6?+TD&n|H?3qe)eff#v zuj5bQPRpO@HRS*KT=Q-DuXCkpPyz*wtif6!<_4MHv9u1DC(8eT&w!CjZbyJvmIcND%P$&2 z6sm+)fJC4>WxZ@nQZWQzxvpVnAscMLK{zcdoq*i1@B%m&mL^w3P5zVkpBj2#uqb4cS>h+&`nKtY zQk5SUKQ-X}HW9F_ZZx8(J$X?7xoTZpj;>f*V$q}g4U4(1=6V?Y$T=ak+|b3Q89M}# zLcGDe#Z;u|kiK2w0=Hs>J)E>RJ=!nKZ-?93MQ_w?pb`EN`R=~u6q`&wqvv)gZxbB- z_~RV-k>KOP<|Uf|9>YB zYy_<4r16o}haVhBr;VvF0EMo;Lwm`AyQsb*$V;;Qh@c$Vwb5FuKnPGvD*9(6^2wv<*q}6e5QJEsMD(E;dk32p##aTl5xN zuuXP?Cz3uA0R&M9%9-E340MiRB#;_LWD@2AxFY(xWgG0B!&5PsrAp=L6S((*3j}D2 z_6b9+$pV;XzVC(VPmLYcXX)H2lg14n(6?vD?mgaV+oLQncoa3z4NDQj-g6zlE_5S1 zPxBo9uypa_(0%)c3?BUUn{NdA`5rlt_S_3E8ozGRq;a>dT_=4wcEs>u9ou&(Sy-;~ zX3d*z-MYoaW&Qj0-z!EckpEX6$Ozb*37{abPP*>bCTtMh9ZUtJ0KFxfIJ`F&Mgl$z zU92tgm#F4m=TzJ`0v(U}3VRKz43agAVMS+{*^BX0YurPi2qYFHG)=E*0!JspRnoU= z?*(x!Ay0~>R-}-wNk~A98rr5*(WzQ>A?0VW-C)Qlk(cesUnhFq@>Rt14(Z8A4ybRt z=n&XONl^{D760Ga+v%p@%rP7Fn<3~m*88K?MOeTI7ax3kj(8-50`U&VLf9gk<*^!q zW=Z%-tq2lJ6UG{}Jyt!k{7CaeX!=#{NLAII%~K@|B=!^%slY)o$k4RBq=VSz4_7Jw z?E9^%44PMLfxl@>y6g)8y`Vx6jr-;|ESqPX-y_{Xk}6fQ1Su)$(W|e#Qvbbraj~&U ziHWhXu~|uJ)t}ANQ;2kk|GvJx`qZskw`7T298sRJxcgz)u%Yo$v9Xa+9v-{;_32&h zp{n+1{N3N&*ICgbMM{<|X)<@gUIn}YioI|DH(fVgwABgB1`%<`uUGXu%6^LI!Meuw z_v(A>zos#(HDX%ATGwkwz7h?&0A>Sb9%;qngzz3gmjpY?R?9w%6C6|e^#%fi#G{r# zvZx9%yAb%!_haRfEPTs7!8TxEz+I3)S@aSX{5X4)61(1-=^Lb73U>P8FHBRv0Rqg%Hg+ z3$Khckw?{LA!|1Q35g}nu=JW@TDrH85Zus7dc7${ZHg1V&ayxTTMf___zKrSk9a9H z8(My^pKAl>YVcR|mqSR9*}B2F{m;=2meRuyRjE_!ttX#+qI9X8$n$T%{q{TWyi<#R zZ@#6|6U)Bz{h<4a~Sbl4HUZqOq0sRNWMTPF(<1u5_%w|m* zL7*&ec;w-S%a^lbNw>VOz;o)X`)=)l1NwFA)}?y&>Nf8!QnbjE&ph>7&DS1(>`~~X z&3m|L(zLQ=$`BYR96$Q5Y)UmYdNpI68?wY!LTu6-9Hp5 zuibF#XEy4g&GwKnXOMxT6G~-=P~h(>y;&v2iWRk5 z)K+abKEC$q%iDIk9XXucqg$7iD^~2>x$~iFRgG`0PKp;V{^%nQKVRed#~ypMYLzNh zOR06s<^%fod$&&QvQAEqK3vV}w+?=+@%(en&dWai_}ux^N2gDnRH1@(;5F)L*s$TE z#q(WVH_w?fyY72+2{oU;atK1pW{u|0o4atq{24Q*^&4bkyLsm6rw8`$JE*_43d^Xi z#&b^%8#;K&(j^NPENIfWkWC5`wt-iMXvQ8i?dh#PPM=nTnW0o{NDLD0sa zKnhndlOIghRz~2?Ng`TV_zk*{wm<;klC#w=`L%3p&N&MiEh}72O77%m>T=M2{(^tl zb53X!d_tiG&1BuS;s>W2GN1`z-%5~;6%qW^0zMI^b;LB%bHKwGJ95e`<#8CHz=R%{ zy?ef&=%y zF9|6&WQlckZ#L1!t`>5)?XXw|3FXnCYaUM`{Reeg31P8BmH!>OVcAIIoC*7*jX!8C|9*|CF@S*{rqsXhg!90v~20(zyQDS(4as+@95|#7Z;ZnEt^~3 z2yrM;+{VJRyvI1-d}iQioN`m^(= zUDvOC<{9fiuP?vy;-(E7K0bZyX!e0~XHIR~x$Tu#Ue3=<)O_`&$nbq%efi}VpI`j- zKiAU_9<+Rxe%`KK+sur#0|zqlf5nwz#fr?GJ)K8f`QnSqmp`9BZw{iF)t%L!esZV# z&MQ~0T>kvhr{_*Ks$bXYhQdD@FWT~i`AHTwM0hL?6y7zK7Xn@_4$?NJD)-~KWNPRT zrf$<3b`@0yW(AOwXhfj^6(13rfF%@>gwW#7p#p1%ZlD2Ry%W2Sr9p%ZR3!xNAYgA^ zi(%mnhI2#40Xu;WLa>x(a-o}04aGk84kGB1B(Bgxx+pw$v87t>zsLgAj5R#$~l2-^1)dQH-hqQVc!B z=LNBab!YiT^mBM2e2PgCOGe1WL82G3D$l$o!Ji2;NG2CB3BYKP=I{c@UkLs~&JkX; zUh@N|8;l;&39JemP?<{NL`(`lwwTu&sW+P_+H5ZD91mA6KX5?*L4yYM>eiuoeRXY9`_w-Dal8V9Epn#^YZdsvwBrgs|T4G^*3IxxnTahjT<*inDAlSwr%s> zl5?SCxsqL^lgox_lP6rf@ae&Gb7U$N#W+kjT)vTC!aida@2_7BZmyATc=ijNo4G(QBajnKm8;oGAungapMM; z*3E2)wD})>_e%cB$rVzlgKA(cpwi^1@~Bu{fh#NwkZh!A0$0a~? z5{KOXBW*>v#CW$^7(M8QJ9Oie>iVITqVn!95al4$;8;A4s7@o)A}mcm+8`Ym#9ys1 zzk2Z<4PwP@RwIe|2BQq_Igo2OD7Y_N_BxGG#q+6%S-i{4_Y_PwbT01hfaFq6{YnAf z+N2vshY{bP8!(~`yNOnL*fI_OU&iOjnuGfH9ye>g%ss-B$2NlryuZO+0)cBPlV?-`A^2 z(?ML>Pd=29X!TqDBZ3>^TU=b6&2ROKE0!$0`t{crFJ3ry^vKmK7rwas`M41S3b^0K zS5{MknZWA9S_+>JCW?2)jtL&JWj|qn(O@i(5QXPLe;m6c7zMi<3E9CxP2DP71e^&@ z88G$)oJ_r?_I)h6eb@{mKA4Rb-1{Y3g^?G}S#TggBj9|n#^xZV%t`>AZjdF)(o@FX zbD)Ya_GAXMbXR9sbV7s@5`qccz|~hLEL?|f_?ttmq5}!PEIb%t18o-U#UwW&pIY!G z8Hxf-!)QxTjYj{d=Ij=(46;BT7D%E2nVT7UM;soS<~n?7w?jpv`Yq(Xc{tA(MYCppe!dCu@$T;Kr;leR zCC9(}Zfz?~3%5ZxzPWa-XZLP!Bm1+mY}1W)ZL>1czy9)zl`B^k&8Il6`O1q?Vf()P z`pbZQAz`7RBZm#4c~*g(Q+EdVlmGd z^b&ZNGuaqr?O>5-t=?9i7ToU#Xf;|*Al$uYs3m)q?|IafGRc|$1PFD_G?C=m5m)+B zPNtp{CCH~?iq*%2p@5CS7mtvt&3cRLhaso1C=kIg$O3EGdtQQ(+Vps(XN(>>9f;7C z@{4kbQm@+Jy>t5icnu*?B&mTzfRX4lO5BLZ8ZU?rGckuDd7caiW)1^xpOiEt#Ca+2 z>qh_HeS!w`3xpoo5A}5&^@9XX&u^86$c$Zox7RsT(Sm4L&5e8dD0IWdUTODb*Qg~y z-KTC605F^?8v$}Cc5s3e;lf~{K4LG9j*6Nz;lu7-JG%SsY1Z@}80=z2tkaY_FJ1c4 zxwFfbE~#3jGOH|j-`-kpyP-1#tq){-RfoknTBrii(Om=g8c6J67U8(Qz_8lZ6`5zHdEev>W@Q3XC31xUbW+U7(P zc32=B@aq3uA$t*E5@2J5MBaWtk;j(#Pdp-GRuC@;6-uE6!KYytp@g{XSux&BesC-^ z-G!Y7gCVI6bal!f;?qI!b~M0#r^NH!o(>j9t7U%;83$mM_gOK)@Hm?VdI0M#YKN}` zQ-SEWGE&=hKqdZ1OgziNB#63!!)N+~(FOK~+S96_KEmnP2z1Q*MO{OHHMFT#1!9h& zc5R~Ez@S<6-R8t_{31iQ1da($73w{fP!0Dl(UdkWaoIVS$MCdR^^BSN4_U z44u45y;QenpZ;~~HyJdb|Ka`FS(zClMh?x_Gp$_Zs&E&WIjU5tl4H$emmzfI!%wN= zWbky-6aCb#Rm*+H&eW6?FHg@;&Yf^^S@Y~OPg~Vi=tnH4PPxXB62*(<*IMW`R4QKv zTW#l#9U3)iP`0e?nfdgSk9F_f?a{{`eW*&MW=$G4CF^CrQmJD3CXE~R>({S&^Jb-T zpKEp3`|rI6F0OySzAwJ;g3b1nEnTu(w{By{i~&1Ww8*`$(EKxjmz8o`o9`{&YTz!| zF>(ItHm=B^xf;e(@EgE6(0_uK#B_r0V$x=fm>#ShHvO&X%Kx#&5ySp~vVs#ki1jVm5negXU>~@pOKJ?hp$IFgXjys=$PF7%FNgqstHMgt%ni zs41@MiI>##wbUDA0(~Jz&2H5O^T(H8dTG-}mvg6&rKYAXTej@=ciw%bWpCBqtm={I z(B_1>=$A+f8~&qs42A#j)TkBhdkyc@Z$ypvTdJ~oOa_lstvq)4&?Eb^KmYua`>q}J z>(wrXVvXTNj()YSyiJrUSlF@Uz4HE>?>B4Pp;P0=jR*Db%epRBaAHu1E%S{duiOXe zu+^>qyVr#(0p2s z$?7;63ON6OTvIFp5n1n z4u9lI6LJu&6o%UTVA}R0w3Xq|2kXa@R~O+CmSfk6yDS781%5`@xs1X*=K#)E<82c( z(@I9OqMw$daS!JhYi^rdk~F3VD;+tj)ezOJziQrJ)opL-bx1?ruU9WJJnYPw(@mQ+ zYSFxDR%-l8=fz4ZqHwx-tGT3%*>zkTrgHM*n59w9$uvz?7v9U@#pap^s5)&`l_!gs zwD;=O@!sQg`t+&9#00m^8|&7}H7ZF8;1>nNi{J@Hkzv`8ENZ$ABTKX#P~_d#lI|0o zp1p#f5lU<(KL^_)2?5bKN*n7hNj_Y@mAm<9U!mkpw@04f@ z;hfF-4OuwQ4Yo_?Fl~JT>Ut9&iM3U}vevYRIC*iPB_OE^LJf;3|2+Q}h65aFoz_AD zAYa6kfCYlSIa1115=-mWEQ#lte_fDkj6h_Vq4lw1d}DY!+bT zQ#Y%`A0_oe$R)`jIcQauCNsP;!goY*F=&zMIbD4)L%b}jzxmGFZ*JS-wsz&p2KC=txpFxc zfpu$EKlwzi%M#KkVVqI#Aey0Z3b`gYJ)ACQd6-c0z{pX#E^U!T0;Nr_nMHa{ui9?d z%QfHV(56+VcCDXy#3CLPbP7-8nhn&4YbD+qqXeB4<<%{<`y?6YRNpy5shal{-$|>& zazONM`hnJew&}z5qU%Nt)rZg!{RF;?$gICIC=aT8+RV*xIGFZu>3XHE*undK?dl}2I*q5Xvx)Th`4*$~;n4Bnoh5IM!{-G<=?Eh{1kAGsLvl_FL-7s)*h z?7UV>yPOq~-Z5VLeJ0Eg1L$?qM08d{lt32#W5|cG3(Xnb!ya_ zxi0Pr@o~F7+`D(~kk@U`qN8Yawq*-{$Psi&rJ6%T(kkN-(%|1EMAek-Qten5>Elzc zSX5y?g<*yVTL;a&CifdP$O&=dKN8oW4>t+ivHL{r%|^lsGRfgFV5jM(BqP2lnyaMi zVvi$U3YY|h%1P_h;Q7RwMkOdX;VD~8teO>(prgfl&U^VkaJZ8J*$n<+hFI<_NjGHO z!Dg_gP`uY|CxNZtF_?IZ_X@}vjVRd=i15!1m{FkN|0U&-XHBSZ+1Wu-A;uE_${rhO zG?-Nk+lj!1G6D1P-RWl@6BvFLLgz7pBZX)%TzI~VyUGd`aZT`761T@z;kj=&BU6>O z z=Y}{9&*d>|B-|_Sbdrl`64+s}ff|AUzhe319DmCGPHlb{HLvq(*GbWNlEZH78Wdj| z_HIn=Msac?*QbW+07qk1H;aXdq{~6Z!ZezspGaCa^T9`0kvRm@@KtmD)wG?~J~+lx zI6;U81&1#-mKU50K#W*bD@2L-0Dr0Uzl2L6@8o=c3DYsnU8F_BCXTNJBpuy#ve_PI zG*bF*{y8-SUN#Y~YH28wUy%oSp|_x4{5};gX`vhD2k|WEBuCmUQf_HJpJ+ZG^H+e= zI7H}Q8GqBbO~2WEV)LVZ@xSD6)?bRlXbjLPo!=0;VKK*e1;CKt!O&nJ)4f!iM29Bg zf)eSAy$me}wiS>M%zt2+EIRnN2UYZCe&2+sgp)X*!l;9_W@%%>bEIuZ`mF{kTyKcc zCv1kXYKYQvUVsxsW!G~d#okZ=y-+)#mJIwz>pw%FZZ-?#s6}5^jkw{|>i|omA^Lfq z(pE$WNY#!2VzVY27dw%{K3gsIQ_tmtoQ+x`rdSBOAVNWZnI%xjo&QDjKN_Yn-Xnlp zmq-~Rlmn6Es7;sPDtHipGS!zb09t+?a9QmD44kT#h6ztj4vVHe#L%V}L?}Q$!2G&> zSmMKVCdPbKoLZA8@tw%)hg4gls2T?_BTj8SER=@@Nae=rmW7!s9UB)}eKZ(xV@-k> zVOiRq}INF$$nI z9D2?AIe)p|IKS@`D$!fTU%f)(Df;#NHS0H6{!qWi_#r(F$656=GXa!FN}SIKJBh-v z8Y~OdS`QEuD6sH%T4-oQab;z@#Mp;&15pl@DRDU*%;22=jq6tsb^vIBMK4uB!j`h8 z@WE&8lkU0N(+Vi~Jr$G#AWw+6&hi96jsRjaW{y>ztl~GDn8-U1fxSJceX5B=MbsiFppZ*Nk9w;j_;=e#iu>cTMSYN2rLIA0v%Ro^%Dm_ zzSl{)#7V%Sjme^^pgdk(luICIHf2a^d+@ptIwDztNfR_a*4R5DgYa?ZaSdn(T8I=g zpj2k{pV+T8xNbJ(peq_RED2GQx2h2PQVZX!Y;l0JdB zp(K?a6JP^NgJphCtDA+!T1FRv?i!Bey$)grafwmhM`b>+>AS?L^)YH~q&9kmNXp{O zQdW#;4i!!AC+E?MAZ)(Hznj2#7w<`HKT%&=<<%v}D49 ztU)MxPSMbH;QLITg)(JDqOaSg_^?IAorA?x&BpP5Ck=`;%R>vjS`@ny^PCBf(pA?k zGSy{%nrRVD%3ofrqXsTeV_Za=Bsp}F4ZMm2tu_-li8L7xDi7t|}#4bfRa=>tJTE}&JsxSVRq(fOmutvDvU(I}@y*tI{H zrbez3)yH&q6Ar?YwyG6Tw$owI9fl5u2fYenFC#-N8Uo6pQkFLOn!(0-sUMGK4I2I8 zgs$ly^;Mkqr^>vk!LqU*IUs1`Hi-U^t|5G(RN*h3)xUz%<1785ZjC-c; zuML(11{LQu^ns}5(QKe-B6~7gBh~`KrX!3u_mr<9uMac$S5)n8y~9} zz6CLXtd|=TL@9@2-|<2(P=~^+fKcu6p(ruD&j}SGFE&1Ic+Eq{`p+TKvT1n;aW;f? zH}n;}R(b@{-~d^HI+t~XaR+s1)83-u#yUyDET#t{*x2Q2(prfL$u?c%EmOU=CTImO z3;GGe5O~GRGu$!L2aBzf>BMmHSEm~^Yz(T-)goK~-gxABIUcUAz_d`%&tTA@0jxVl zn^x&9gcU)kVLz(&jNH?5fb)RWwM4 zFavi)vOtfDJ|Dd_e5_HR{0QTaN}w-OwneAv`;97Q>84xXV=Y8!;BX{yG>F9Jj%N+dN?8^v=>M4t@f}kuGy^0(=)H z4OHi@Q!u90Jsj#g4uaCe|2);Hw%zm1!iAI%pLeWz^Ri8BFjZ zL6>5$psqwS8F5KX+iG@k)v;CAO-sC0i$0<=1RVzf$HHqU= zWOdV2I0|6)A51a9ut8}9KNg4=Y(Us|+SUV-x{)`CVj=dXAf_W7~zF}lM#?}vrZdX0F@4y4VVY4w(%E{jxd%u>;G2 zFF6?-VI4tp5z7~K1hN1+b5wA%+%Yrw+q~ zfkZ8=4@<&t8f{?19XwCDBud;5wjO4YJ*=1BLh`tIo|5dVaA@!+Y<3JRvzO@2VEC~? zOxq^Tws0LiC&&c0XPIS6Feh=}XgNSz9H7V_(RDNqhB(t{_zGd(f|Ehk5OFwny3%Y2 z1y5x4kx6`QtQxsemywnzYHHS*rpc`hH9ezW$`#+@(5MfNTmXk`SV-N=pn$1FnNdP+` z!iCPOm{}v1o-BE8`$|{~qBUZZs!aiH6wFNlpu8DJ^^TCjJsIRamyZIB?mW0nPpF%kOtd@Vs-RCq*uy4gB;9R(CX zWkmcQy+-uOkSy9@)i9mRGB|u?be1))6JGvuU6wo|e1kRC*-Sr57LW<#O0R01U@ zurOX2Rvok)d;}Tl;pZ3~^yjiLam0q^ptPT{(==|4#&+yT;i1G^AjAZjro*p_cNmq2 zelBk+`DdZhDC^>XBx|aMBRmN0nhi`qrd{F{A`CPi*o-4A2dYunPW;Ca2jJxSA?!CT zccdUmVW1~F3A+sXLy61rg9J_0Q)366RrB`Uvxr_} z{UppFTrr`6{7y*NQr0!SgMl#uR64*q3u$Xs3NX?&UBZsB)>xH!X67vw zbwluz$omjXe!{}XoCFbgrlz=^A{X?WRAQ1#F{CF%mHECh$w^aNthC@y7$4YR$Q{`w zhA-F9B|?tGH{?rgD_WF|vboo4rpA!)B5s=~O6}ZrH00x&iZG&<$zc?<)Iub@+Fc zenWIkEeEQ-XVu}~)a11}kWS_mk07TKy|C<~R*=yNr9? zbA2yLRXH!qysUUTVc%;Q8L?lWD?nNIc2nh47CNB4-B=`=9+L$}ji2e>D`FJxKU3k? z4F3QQmI=dH;fwvqGlDR|Ar}oHHVcFu0-NkOBBlrUC1@AIBTNg>9TqIYGSi#cMKd^& z39p}+?J{h&)Xc(M94vGLkSd|c;KMCPz~(v2iVA)4#a<;a3HQ@7O5mwLj6eygmY5`o zX43=FPEm=5Tqd&L~ZhS3cD&V z3x3Za?D|;oS05{(g!<4ilDXoZzTKz%AWoW=$=UC(CBv7CG?#Km9UwD^ncuKij}_gJ zM&^n+IBmh5PS~tcf6?$ILEohpv|*?Y&=>KFtw!GXNIh{sYnxLv&_Rgpj5AxI2*vG@ zCN!`d%yvw%^pvj(E;=Uws$oO42ywLmk3@ z(hS5g1hndDwBbY`e5BD!PQK{*Fa zaYs=cwAK1dav%1Tzy#Dt@bv54KL$K5L45 zCc27k6c`?bMBcFU?4)DY6IWS$ND=3Qz7+nPSIn<4&Jt$Xs*3+ro3qOi46_DkZK{_hkRPIgi(O0Zr=!)TR3BJ}ed zs%s`4`cj230#1A69_OmPruLa70U^QDqSq>K$AyaD+M^puw?#_^3yRS{<4-XPpoY~8 zEDcCVqE|5+jSgC!2;Bg;@R@9Xk_V)iY`9qHc$-)x2hI~HDRYdf3Z)x7lnm+EbJs)& z!b(JPi-F}_-*k9l^iP`magk)1A%w@_6+pN!0XtL>^s0#|7G^v0Tea_!$XQH9omq0I zhQDzWdL)m-qMm}xVuI0wg<5q}K9bq$I}yO~K|>Nj;{y{BqeoiJD7 z>dpd`9mAUpu9h1)@adqsRFa{yfsmLpau$Qd{#Y9rPk%5ySYKrW0uhzdF6%3mVLfMkF@ zAW<)tdZU0M9_B3E3*Vw_vC;j!@7=h8m`F&?zzdNk=J{wiJ+0oWp$kGhF&C{>AHmVl zcVf`Z+#&ES)?7|uN9R}D1?1IVKt)T9+qIqGhB zKWICRVLs~&hE6xQqEigxgt67%4u00ywjN+XlaP5`E!}%Rbc6WY#9`|)UWI?I624VK zEqE|oOW6I1&!D4&EC=8699$4uJ`{1xPg#8^>zqVsKsxvjCYc7#(b(gvKIKq%*^U8h z0Bk^6O=@+<)AB(=H_Y!HW_aHv)3hz3awjSvJTsyS47?-$CNvLd1Og6TtFfe#{8_oB zifWezJ7O$$s2g@}LVSiS2O);65pm4Q#%&WF zm=(&GXsZEY!$RR8mI6C{ynEejA7qdbB~S?h7r`G5eK;#F?xwcy3yt)1ledXD$xtxn zlvnGP7`?j0hSZW9Qj2X)myk-p0ATNR%>%QM(bE@{%*otI%eicGzl*5yZW|L41QF?h zKj8`3#O%}zzk$Rm?xki8hQ252fAvbJA>fh;91u~^6?IW6`d;7{%&g2UGqAuQq86)F+W9_Bf`71;Hxw3JL0 z{dF+;nNG0Wa8Q_HVL3Q>p;)f~0Dw6`2}Woy;E{-Rg%)HSj9&!R$A?)eLFyp^3Yta&`u#&J2fAWdnym-0{%FJ& zM)Qw#!?H((p0mWb2P-P}N8Csk?G@GnBPkkMx+BodwnZjwD(8Nr*oG7*uao7Ye<+*q zeYunyMSD+`HARdpeycBYW}~b@Gxd;@T$Y246#+^NOfN|0dK9y0kVLzJy5mPNdh*is z8u-hh&vt%}?0~@+DTel-5YQt;m9B{Ac&T;+ORS727jn6D^cPizh^WOLY;me6rWtf5!nx^m+f3Ct*I$Z$G3_rg zTA_h_;6s93iVT^-?z3hjvH4OlZV_hTxB z$H1|aeLV6DGybPgW+V3o0fGM}(gEO4)-@Y0knD$_)zC^c@1;%IQhv|LqCuA`gnV8y z`iJtVzm!e-p+eGcm6C6kNxrQyn5aWBvjS0I+;pPBU!4dT)Pu=@>k7n;K_KiH=wHAO z(L_JSAtIOHCZwy2z(1(KU9pr;goc% zO3JULlWtc{hG_g;KJ#{w_-_SBfb*>JP76Mv|6%IB1twM%RvQKZXjxkw&PG9x*P;J4UKY|NaX;=oT%XmWY<$$|298vY>qYf;f_%fPXzE6l{fA&(@Ljbi zWtZ<2sUL%g0OWG#u;mF1Gm{zYH@Y&$8Q?22IMVUU^==?D^gTe)NQfPtN zJo>yEI8$xS6m=_9*Ya`tIddMpy4N`gF9v1+;^ux>q85<(-68yjCOWzyi!@+Yyc+71 zMRY^39I!^1DKWD`TOLf&1j>lr1f4UGazrW+M2wi>6_USqmRFz^U=`3VdYq9Tg5tpa z1ad)oyH0t<>O5Kr)~04mTcHt2$hN@6M+-*Un|s8d+KFc>(QV(tMeh$#x8 zyF?jN_1Cl}y^8jqUB)%7RLqUa2|t%j{H;RD&*d_HE0unuV&<)CDK|?b-Kv^$vvks} zN^DrT62r`3($(LBWykZMvy_-@gsVm1UA|B4yR4E4Q~w{qccIADQFgc&~8i?w7A!e9Yjm$%8xG1f97 zHXt8#3n=zsEnxBoEx@lq(h1>$+ZJ&v$>yihVQB5{!9T~JlF=mukp zQVvBZj3~!i^kCT{-~&~4q(Bp1t}EbwRDXu>MW>>ElIekl8Ne`(a(}La{XiO04p@R`dO$oknV1$Ch5~TW;?srs%6> zW4^7H{#&W!-&l-JNw=#c|5}>eJmXH;$>}OC z^ei3qLzSdoOQ+nfoN}Xd#(&DD-zlH`Te+m)DkuHslzJV?2D4EiNtMx zu+MqV&hGS~)?f)C;#+KE(o}*m7){jDYO4{Af0%AaTU%dg>EG*bIgn~SF-m?Kezk6pd!|cxPEXI?~?48%0bMHCl_xS$4 zzu(FEj7vcsiaRM78!f)*u+Ph{h-ezVIVE?AaVH8)3={~3BJd;{tUjdLjtRZrUeTmP zkpfIam_Y>9VOJ~=TIXyW=$9o;14iPcF~RkOg-b z!WBWxPz0!fTq;GS0@N!A66h$H6_y5}7Bno*4itiM^*Dwu)G5xebg$~RGOvrron?;2 zPV{iy>yq{Yg368zt6x)#cM7TrUA&S;xm`?g9AsM(+UK{YD@G~Xb1)(4Dsr!qyp=J2 z5u*#ALfGtkUGTDCW$!vx*7;&l@W<6rG;h@*G5(48+%#ELk*)Tn+Pfc^G;c}W;vIqZ zlin>y0=ox-y%&73G{PYm&(xX8a3799!lUOSu_RA}W-g#-yhfs-FH>VA8e<6;_X^+| zw?gE=Fc!*H+uBVIieJA>^$Crlovb_XD}pQ-JXmz3;E_t;1g&Pwp7g_o-h~^y8{YIs z(_S+Xj-|ZOtUQ{7fq2$uCVlaAD3IFnrB6+w*61cvBoB_Ho-+(^E zQ+`iE$?gAEKYem6Grs(3Ch*QL)=SjJmf^*Nf)PR-{C}j2jL{EUZY-JzzR`bK9Q-eT zOSNoNuV*DvKcdwv+DV99`|O>vKgh_C3Z!3@K3)mIDYkUju*>Q` zwWM8OESS>mx@EEnCrtj04fQYweHl`{Y}7XM#na9 zBt|)`Ozi_MjRRtf!i+j1J1{b1anh~knt6BCyx-M4+Zo#NZeag;U(Z=je6Ybt_+vSL zJQp-B`i)G$NCl$lP&DI5iT9_Q%#1sh3bRzrw8zMVjZBT6zM<#53!D>v8dM6_!Zjjd zG|_?X)30cRZ$Lze`GN)6v@yF|H&3bl{?A=4Tiwsc+-)C(jdZ}sc=fdXTM;u8Kr%#m zg*Nc)X!FqZY>u*CCztPVtnk@XoF7+>rFC0iJj+!N<1JS&tA_A015pX8C6TKH=? zM>K3g2#Tb@uQdx>74sst$Bwtv$^(kcg;C;2C+BR)5H1`_3>1{=dizpFe}uwKW;y{Z zmMsVgUS5S71R^dJN3;@mn{qJ~H>yf%0IM4y2d#2)A*u~Zybj3T^gTyN4z@S89& z;Dy5QY~7{)bXcu`?fH=Ou);=^hw?J4VoVEWku#~B1N*w3?-5f08z$H&m`UZ*14kPR zZ2@ZZ4YlpC2E&BtFo*$nuS96r1_n2WjAOh-O8p>L?Kb1tW4#`APTI*&X-?wL7v=lha>4d(=>%(?j`2Vgr`s@S^p1X3S9DJB!P zC;>YfelhUKW-G$tBm{kQs#uaQpOi=tG!JHuqaBPZ8W=u7A6UL)+r4C3VIHHTxEttJ ztgNvcd-5_>AY=Sq7aAV*pny8p#1wk`j#3+b^fSR9pjc3e+@QL}0nmdrqkm=8S8;jZ z<53I*5;a!J%5Jr+OOvfU%T%nj<;H|~UzmUQ%vaxgSj7SAkBfvH(fnO!>e#&zG#;P~ zZksa%GQK&{1&j{J#;u=Y%Qlf4WFQiMk$m&}Bwr8T2ceDajd@8P2MekaxdE9_D2p!& z7!Jh(RwufKsw|K|kVSyBuo=NysHwhqyD*xNQCKMzHcl?VHMVcF%GH)k)WTCb{Gnt_ zliS73CrC6l+(E7x+iCy{lLGv+QSBh_J@{s~Od~Aln)|qH+Q^_Vro{b87lpXR=Mfq*87`^KZ;4ca4mxf_+C%pE z=1&yce=tcX?{MFIQ4=_}t)1Gk9!bU|la%aNp?B;HDffugfxk&c z2CE)h3!4G3bnCDRJLj!{6kedQkQvDISdV=u`a zu-p??0X1w5AR9vhV(2NKZW%@9 zPyzZ7yfjg73`G_QAd8V@Cv2dZqvYH z5lu#3t?gGHzbphmKlt!C>D}+E=2nXgS@sn22I`~jUkV$8-WH?>RFlIheB+p3E4-=A zeOz@7C<=vFK%yuGO2NP3g0?~E7G5afODv6#PZO(v)O#>(CkavXnngUGO)=8) zbXKPW*pTqRffoqDAoeA7^estT1w6;U05ic}WwnylJ<$d&jT?mqVf_|Q%W}sufkBMl zl@jH6+59?O6gX)>5G2D=^9Lp^J4)kdpsBIn;Qo;*3HDCu2JBnmN?8;Xq!95DiX4I= z6T1Y>BRCtmMZ0}=b@Nl6)vtQD{5`n+Sg7ZMr#BIf5~j=r`?GcNM4&J0jRP&F2xWR$ zwpKhM)R%XII!^E{RKMEvs@yQxVz9?Wr{^`aAy>gJ0C?p#Z;HDb{uuV2r)9&W-A4oa z&(yy1VUwQm8To)gq$X8og0*I=jY~JgQnf~|(Lhj04+DK^-ipK$HAXICq-xB;5Pi1Z z0+4aXvJHK?YLjmzYxSYVS1wA*Gpk_$}no8_j_GHv{Ae-2h7~BE=&^QFUD{0V= z_a0ZKRfCj?N(nx|4NP=fZrJDKY=q~)5Q>{EH!9?P9mLUt;`Ok^F5{PEqX#VH(ORRP z)E4b_-9^2=uZo*lyxcJ1I!}o3C%Os(02dSiLNOc|dI$~M%qX4`EH2bpC81b)LMWEH zFJzr37_Fi;lLtQDtAnr>mo}R0D)yvvTsOnCr2Iw#&Rt}>Q1Si(-L<^ zJ^AZxM%WjArUyJ{8z@w6=X9xbLj@KsL1RoamRe zhp%;hN5~LoL|FbzIb0Rru*vETYmfd>xXO61wN`wDGJP-%J5OlK_6q+HvIoOwpMgPK zxW$h_dt4qp_%39|}XI8g1uq@r{NlX(p5|7QLf5H^c~s{d%Z-!?fJOJ(`1$;KmsH%5{NDlb(kL8m>_9o=^7!tXYkNiT#shKisn8(o|QknCvv-)`GDD%FV$Tggs|&AZ-B^E}3Ib z47Dayl?Im>a%j21O{V;XH$KOdZo}m2YzV% z&Y3w(W_>UUX97fO8m*`H5sRR%lyY%k0{CXb=1Z;wm>Gh4s2D=C)2|)8jR6UeKw<(D Yw=@o4#HpoNN0uZ&0?7yjD~Lw%0nSx4p#T5? literal 0 HcmV?d00001 diff --git a/apps/plugins/bitmaps/native/snake2_header1.360x400x16.bmp b/apps/plugins/bitmaps/native/snake2_header1.360x400x16.bmp new file mode 100644 index 0000000000000000000000000000000000000000..f88acf2571d968840052addce73f57bc204649d6 GIT binary patch literal 41094 zcmeI4zmHT^6vuZ5q7+6jq74EoB-&x2m4RqW#1=@jgGwxrNK7`M zs13x*L?c$l&c6UdOF}PxX1+V$J$vWPo1NX+H$ONpZ{M7I?z!jQ_nr6s&b@Em&Y@!$ zuFkZ#{Tvth`*?O{<^^77XBOK2<5y>9UfHL=wu8gJcW!Z9{Atm9rzT$$fkYq?Xdz&6 zz@Ug|NH>g*1MEp75l93cSp+HtLAtRb9S{GTVQ+5=ZkZjABhyF(5`ig3Knft@uj+uL zpsfb++*g9zFKdE(#M3r5iK%4&_4BnOZ@&KYjwfT-hHdhYjhx*br6&=X4g?ybOr&7} zU8^e0A1IY-&{?Fop|UB=M>)G^9Zu-9&V%vmcVF$@v**T*8~5(rdpL}ZJY*v$nPW$3 zCj!%fKx34tVQxWoi-0*!KqcBM4LdI}zT;cHk7|#MnDFo$uidmm96L%o z5tt4H8ly}>TR4sf47>fRivAj?TVY|M5~ag=WT?tV@L=0x zkJSu5s}g|;MW6x7h@mE|44fFQvSFvypdQ#(!;Wq^GrrY4?bNP{1~p3F%CR+@yQn{l ztW5+a3xNhGLl-vrR)fBHc5U1S_M>OaHPwK`xq0X>GpfSprsx@3LSWlCI-XM2@ z5qq&;P8EyHI8!$YOtP2=)F99#WyIOYUp$o@$6qt%URAC9?I%5)v*qb*I6G$RH722$ zx)A|MI}xZypfSp{xZ2qY3a_DgoA~u(uBlZIYZA6K0e{hHOwz#3;n-c;iNK^I&=_Sp zn$da{h53k=v!MAVAyt0m#MVS2cB+13FFf1HV_HL>a^&+H5#+R|34z8aQ$yjX8$-dC zzA878%U|^J+w#{u+qt~FeE06%p{NIW$d=EG7C2{dY7l6WGUjY0sd0`FRUWoqdi&tQ zQ#*Q%Z6H5+$d><~GQu}ByWVO9k}_M3aOO`05`k$&VEN*O+0J%w|Gq!Jzd{M8&v*B( zXFK(?CyyUycaf^@=5ClqA~0D9a6Ny1>LZOA`^z(*V(V(Bh|SfGA)PKgt@y$fzQi6bY-;4XOY~8Af|9*?S_e zF$ChJjtwcuW8Hw@e6Zs2^Z*u%>FN%fR^44ka>XtbdHRF*MKl$e#*kmS0h>Gn88_X4 z?3@cDXZ(!Z!^2?AU_~wC*JcD%L_>6fI_1<2;gH7(MIc`4T;W{poJhBB#Iqx2g&XY` zGQ`?~TvQR@DUBj)bb|oRM#!UKxG##aqvmZ9-^0-Ga57YMgL5p-wAXxt_*|zn|Mq2% zLqs56>ez*Dh!I@>u}A5KJlM2~wFSAT5~0?)P9feIOw5>nv^G#g9kZ?V2vFEVWDKTC z=cNGRtv{nZqwGBq*Z=}t&z=lmOE+|FQzRi*JL?E}_*CU;uh$KlM81d}@xCYtiJ?>H zRHu_zbS1z4B z^`Z7J&U_NbxqR_Fwes9)eRqGJBSqQVj&pGI(1b`;5rgfbY#*K6d1NS?!W^Tta%vbw zt1MO`F!i*WpP%34&k^&>!*g?UmFT6j5&`5wOTw2HpJT`7XhEQO;MJ9f2{9-VPoB%? zKEpnJvi1GAcC}SQMaqBj-NQt3R1rIFPVPK1JSu`6qqJ(SVHB;hSc$;c(u!NG+|<<# zRc`9?RStJ_bqab|i(9O^>nkTWX}J^IeH)fbV)Os~N|H+S(piZB1U!1^fIq&OZvdbL z0b8`?vmTqxgq`0$w|nP;a?+eC@~9|+V=G%PA*vjYoy;p@ck)Y5sB%~ueGvf0A<_z( z>&qAV74f079}mNZ>G7KyeZwMg&{1Ew@DYPb=o=YQQAIX?B}5Th`F(;>H>k3IxF_he zX$*t_?}9(#ZEM;<(YhfIk?fjmc#R|7Kx)tyb}uv-h7xPypCq%jalLQ-j`_g-V`?v6+)7*)MmrN0}2;nE8F!(V7C(ZE)ZA~;$R2cZ9Oshn>R zMiSr9ptT?De_NC8td^$BvO&sz`SDL@+Ya z4b4xeja6iz){!+v>P8JX162)|RuGn{g$96VST~Res`OsNbn_ZRl(z?_4>bP1!94J} z$)6+6=QsL-Tm(or;xvO9ffzOTo9I<|RIG-1-ymD%sTBksNC=4I9^njjff&#EhRnQac zg6Tv$z`d|?!KtF`A|bWfsdcMpcqD|mM+}N6j}}caKJ6L=9tB$QApLtMmUivjsZYA~ zA8A6v{Qs1i>!(+h1VKDDa6vcPTC#fe)c}j)AQRqckbMI_ju2FGwb#F3pele zhR0d1bNqbV@AaPNwck5A^k2N!>%DwNKSKwXzaIX~b?(PGpQE0<27w?D1O^CL9584i z8qy7;;{bbN1c4y%zeQl8AV@bhq+|W>9_R2-@W32-92p}B1c44CAO#TdH+4W#FjRxG z_Ko1-%a-6N@r*5pl&NI@@zb|&zwz3WCmt`u{pU30e)rW2r%x{}Ev>AqtnbA^9%;iL}gFrVB zXpJ%r%&o|75irLIn27eqz|l(?Kk{vUj%tsLGU3AOuin3Ze=h`MOAd09xtu6=5aNW;yi zD+tUe0xeKR3^ie8;KXp34Lhv{&A<)@j&#GB@onZArgm3p(4*vo9Q$*4ismZP8w6$x zffgvk6k>77S$XKv;ihA(2HWB}%CY&8h?B^ec4eTFW9*M?tb2-Wt4Kf)m>~pOpbS$? zV{S!oM`GyMA(9FvTWL(2bR%^k8hD}`JA^u6K>!5Wp^T~8z~zed4B6E$$WvgHv)C`E znpI|;(Txg|G=o3`0&P-8oGtl_XClY(H{A2Iu0j6cH$9wl;29k_N9N$QOhPldQ34V> z2s9(m8f6At9UT>gx6ph@{N{UZ=+z8c5)L&1e>G{Dq=gTM%jseVfmuhOHOh=MWAG{p z^N5$TqWOP>RQZ(?TMLPDQq5b=!go9Qn%15#Ir9CD66DxjLZCIuG*GzIjXlAZxh5VY zm%p0jx5cmdZs+3S;_~wHo~Q?T$d>PmRye2G83fv-j5#}z)Hn|iRlaQh?pxcT$t%u|;xE}T1i#+80~ z_0xX8@8;sIn>2M0M)0WBXDk`q#P+BdL7+1TR1{EMkjU@+2jASrX)ZkW$Z<%7M*}f_ z|KToVfj&ff6o$f-@Q`2s{N?-d&aZs%{!VnmMODG}3nK8bCq@wH7y@udng9#X0m+4G zymS2;w1NdR_2h*MCWW5Pbm4T^%z=YcQkqJjb}u2Qj!IRvv;_%O?XGd6ZV*OJh6Ai4 zV+4UNApkGL6ez=6y;@!K{Pi-Ps$ZIW&fI}YUV+TCZlH}UnW&5EsL@hD?Rcac_$8sb z`VC-_0S#=eyD%yk9UmhI%sK)*4G{`t0fMNOUFilxL?ZG?H}p{EN^`crK>%I5bp!58 z7^#SIw9>$-rV4bhn)H%qwrbDa27z56;O&M->21HmeF~$TVW!s`6oPW12HI921=t*j z1_f@rPf;*e6oU*bQRIn$_i2m(PM2n2ynBJkku?Q54m)cM8LPs$n>Z{46*o`-|K_21x1 zQ?@>ODtqN&2$AyR!FE%&uTHLDUzJ~Bj!{}UbsLV45d?xjn+PBm`cI!1=bq)n=4wHp zIseMW*K{#x63^}3x&9gUl}j6c^24LC8mLHBap}DUBDt!GT^>%ZUSEAz1Up7)HC(sh z_!vPT2(*a+1bpZGydU4JHz1}3!L;RBUlI1vEQer|_S(=5YbWXIR8xvuPGYA|K1SA*Y zKD=i4;T3{tuHAt)9o7wQo*@KRn-u)g4aWLo4Ofb@Jj!g2krCaf07)|l93BK15&(6?6&Nizrpfp!qkJ_Y(i zVfd)62lUkJC;+Ea1wFy8m`a|qz#r(6h)P=|L2_D5TE;5V1%V(C1cJapA@C2K@#3!l literal 0 HcmV?d00001 diff --git a/apps/plugins/bitmaps/native/snake2_left.360x400x16.bmp b/apps/plugins/bitmaps/native/snake2_left.360x400x16.bmp new file mode 100644 index 0000000000000000000000000000000000000000..eac0c8e6019340f62678e6e2954bbf88af7f2f0e GIT binary patch literal 6326 zcmeI0!41MN3`N702^gVQB*XyR*@=-jbppgDtN}Q2Q@*jSsP`W=6vc^?em@)3%XL1K z*3R-dN#9Fznh?b7p}P@%fF~E*6Y`K$hW0*$!rP=wWs^%V};RLjFhk zWm&%HA7~C9ng511$0GB8#1HeoDiEl&YHK*v-;P12ikJVHd(+U^Pl+-Z<`gwe?AnhACtze!#RILe%+oXBIF;`&Q(qI8^QSOakEMz!l7McjYXG*a{9wlCkN;&xw7 zMcY-*lk}tXr}RUyiH8iAvvs-b+B8@F+P= 360) && (LCD_HEIGHT >= 400) + #define MULTIPLIER 12 /*Modifier for porting on other screens*/ + #define MODIFIER_1 12 + #define MODIFIER_2 10 + #define CENTER_X 12 + #define CENTER_Y 40 + #define TOP_X1 34 /* x-coord of the upperleft item (game type) */ + #define TOP_X2 320 /* x-coord of the upperright item (maze type) */ + #define TOP_X3 42 /* x-coord of the lowerleft item (speed) */ + #define TOP_X4 314 /* x-coord of the lowerright item (hi-score) */ + #define TOP_Y1 4 /* y-coord of the top row of items */ + #define TOP_Y2 25 /* y-coord of the bottom row of items */ #elif (LCD_WIDTH >= 320) && (LCD_HEIGHT >= 240) #define MULTIPLIER 10 /*Modifier for porting on other screens*/ #define MODIFIER_1 10 @@ -446,6 +458,9 @@ CONFIG_KEYPAD == MROBE500_PAD #define SNAKE2_PLAYPAUSE BUTTON_PLAY #define SNAKE2_PLAYPAUSE_TEXT "PLAY" +#elif CONFIG_KEYPAD == SHANLING_Q1_PAD +/* use touchscreen */ + #else #error No keymap defined! #endif diff --git a/apps/plugins/sokoban.c b/apps/plugins/sokoban.c index 41c671a38c..247663a5c2 100644 --- a/apps/plugins/sokoban.c +++ b/apps/plugins/sokoban.c @@ -696,6 +696,9 @@ #define BUTTON_SAVE BUTTON_BACK #define BUTTON_SAVE_NAME "BACK" +#elif CONFIG_KEYPAD == SHANLING_Q1_PAD +/* use touchscreen */ + #else #error No keymap defined! #endif diff --git a/apps/plugins/solitaire.c b/apps/plugins/solitaire.c index 2d737df678..a48bfbc9be 100644 --- a/apps/plugins/solitaire.c +++ b/apps/plugins/solitaire.c @@ -741,6 +741,9 @@ CONFIG_KEYPAD == MROBE500_PAD # define HK_CUR2STACK "HOLD SELECT" # define HK_REM2STACK "VOL+" +#elif CONFIG_KEYPAD == SHANLING_Q1_PAD +# define SOL_QUIT BUTTON_POWER + #else #error No keymap defined! #endif diff --git a/apps/plugins/spacerocks.c b/apps/plugins/spacerocks.c index 2d39c26b3d..8203fad612 100644 --- a/apps/plugins/spacerocks.c +++ b/apps/plugins/spacerocks.c @@ -372,6 +372,9 @@ #define AST_RIGHT BUTTON_RIGHT #define AST_FIRE BUTTON_PLAY +#elif CONFIG_KEYPAD == SHANLING_Q1_PAD +/* use touchscreen */ + #else #error No keymap defined! #endif diff --git a/apps/plugins/star.c b/apps/plugins/star.c index c186474ae3..874afc1cf1 100644 --- a/apps/plugins/star.c +++ b/apps/plugins/star.c @@ -668,6 +668,10 @@ #define STAR_LEVEL_DOWN_NAME "VOL-" #define STAR_LEVEL_REPEAT_NAME "BACK" +#elif CONFIG_KEYPAD == SHANLING_Q1_PAD +#define STAR_QUIT BUTTON_POWER +#define STAR_QUIT_NAME "POWER" + #else #error No keymap defined! #endif diff --git a/apps/plugins/stopwatch.lua b/apps/plugins/stopwatch.lua index 578ba7f42c..34a3c57d72 100644 --- a/apps/plugins/stopwatch.lua +++ b/apps/plugins/stopwatch.lua @@ -280,7 +280,7 @@ function arrangeButtons(btns) end end -rb.touchscreen_set_mode(rb.TOUCHSCREEN_POINT) +rb.touchscreen_mode(rb.TOUCHSCREEN_POINT) LapsView:init() diff --git a/apps/plugins/sudoku/sudoku.h b/apps/plugins/sudoku/sudoku.h index 1332a9a80f..e06581fdc9 100644 --- a/apps/plugins/sudoku/sudoku.h +++ b/apps/plugins/sudoku/sudoku.h @@ -460,6 +460,9 @@ #define SUDOKU_BUTTON_MENU BUTTON_MENU #define SUDOKU_BUTTON_POSSIBLE BUTTON_BACK +#elif CONFIG_KEYPAD == SHANLING_Q1_PAD +/* use touchscreen */ + #else #error No keymap defined! #endif diff --git a/apps/plugins/test_touchscreen.c b/apps/plugins/test_touchscreen.c index 120ca8ac34..0d8e91f6a9 100644 --- a/apps/plugins/test_touchscreen.c +++ b/apps/plugins/test_touchscreen.c @@ -37,12 +37,17 @@ #elif CONFIG_KEYPAD == CREATIVE_ZENXFI2_PAD #define TOUCHSCREEN_QUIT BUTTON_POWER #define TOUCHSCREEN_TOGGLE BUTTON_MENU +#elif CONFIG_KEYPAD == SHANLING_Q1_PAD +#define TOUCHSCREEN_QUIT BUTTON_POWER +#define TOUCHSCREEN_TOGGLE BUTTON_PLAY #elif (CONFIG_KEYPAD == ANDROID_PAD) #define TOUCHSCREEN_QUIT BUTTON_BACK #define TOUCHSCREEN_TOGGLE BUTTON_MENU #elif (CONFIG_KEYPAD == SDL_PAD) #define TOUCHSCREEN_QUIT BUTTON_MIDLEFT #define TOUCHSCREEN_TOGGLE BUTTON_CENTER +#else +# error "No keymap defined!" #endif /* plugin entry point */ diff --git a/apps/plugins/text_viewer/tv_button.h b/apps/plugins/text_viewer/tv_button.h index d9a57d114f..4d45fbba03 100644 --- a/apps/plugins/text_viewer/tv_button.h +++ b/apps/plugins/text_viewer/tv_button.h @@ -572,6 +572,9 @@ #define TV_LINE_DOWN BUTTON_SCROLL_FWD #define TV_BOOKMARK BUTTON_PLAY +#elif CONFIG_KEYPAD == SHANLING_Q1_PAD +#define TV_BOOKMARK BUTTON_PLAY + #else #error No keymap defined! #endif diff --git a/apps/plugins/vu_meter.c b/apps/plugins/vu_meter.c index e24ad8dcdc..356a7fdd93 100644 --- a/apps/plugins/vu_meter.c +++ b/apps/plugins/vu_meter.c @@ -452,6 +452,9 @@ #define LABEL_MENU "MENU" #define LABEL_VOLUME "VOL+/VOL-" +#elif CONFIG_KEYPAD == SHANLING_Q1_PAD +/* use touchscreen */ + #else #error No keymap defined! #endif diff --git a/apps/plugins/wormlet.c b/apps/plugins/wormlet.c index d76f6a7d5a..162cea6208 100644 --- a/apps/plugins/wormlet.c +++ b/apps/plugins/wormlet.c @@ -407,6 +407,9 @@ CONFIG_KEYPAD == MROBE500_PAD #define BTN_QUIT BUTTON_POWER #define BTN_STOPRESET BUTTON_BACK +#elif CONFIG_KEYPAD == SHANLING_Q1_PAD +/* use touchscreen */ + #else #error No keymap defined! #endif @@ -492,7 +495,8 @@ CONFIG_KEYPAD == MROBE500_PAD #define SPEED 4 #define MAX_WORM_SEGMENTS 512 #elif ((LCD_WIDTH == 320) && (LCD_HEIGHT == 240)) || \ - ((LCD_WIDTH == 240) && ((LCD_HEIGHT == 320) || (LCD_HEIGHT == 400))) + ((LCD_WIDTH == 240) && ((LCD_HEIGHT == 320) || (LCD_HEIGHT == 400))) || \ + ((LCD_WIDTH == 360) && (LCD_HEIGHT == 400)) #define FOOD_SIZE 7 #define ARGH_SIZE 8 #define SPEED 4 diff --git a/apps/plugins/xobox.c b/apps/plugins/xobox.c index cf959ad7f5..b8b1964db4 100644 --- a/apps/plugins/xobox.c +++ b/apps/plugins/xobox.c @@ -351,6 +351,9 @@ CONFIG_KEYPAD == MROBE500_PAD #define DOWN BUTTON_DOWN #define PAUSE BUTTON_PLAY +#elif CONFIG_KEYPAD == SHANLING_Q1_PAD +/* use touchscreen */ + #else #error "No keymap defined!" #endif diff --git a/apps/plugins/zxbox/keymaps.h b/apps/plugins/zxbox/keymaps.h index e9316a301b..e95a1d8c94 100644 --- a/apps/plugins/zxbox/keymaps.h +++ b/apps/plugins/zxbox/keymaps.h @@ -290,6 +290,9 @@ #define ZX_UP BUTTON_UP #define ZX_DOWN BUTTON_DOWN +#elif CONFIG_KEYPAD == SHANLING_Q1_PAD +/* use touchscreen */ + #else #error Keymap not defined! diff --git a/apps/settings_list.c b/apps/settings_list.c index c13df734e6..1cdbc4115d 100644 --- a/apps/settings_list.c +++ b/apps/settings_list.c @@ -242,6 +242,9 @@ static const char graphic_numeric[] = "graphic,numeric"; #define DEFAULT_FONT_HEIGHT 12 #elif LCD_HEIGHT <= 320 #define DEFAULT_FONT_HEIGHT 15 +#elif defined(SHANLING_Q1) + /* 16pt font looks pretty aliased & ugly */ + #define DEFAULT_FONT_HEIGHT 18 #elif LCD_HEIGHT <= 400 #define DEFAULT_FONT_HEIGHT 16 #elif LCD_HEIGHT <= 480 && LCD_WIDTH < 800 @@ -261,7 +264,7 @@ static const char graphic_numeric[] = "graphic,numeric"; #endif #ifdef HAVE_LCD_COLOR - #if DEFAULT_FONT_HEIGHT >= 31 + #if DEFAULT_FONT_HEIGHT >= 31 || defined(SHANLING_Q1) #define DEFAULT_ICONSET "tango_icons.32x32" #define DEFAULT_VIEWERS_ICONSET "tango_icons_viewers.32x32" #elif DEFAULT_FONT_HEIGHT >= 23 @@ -848,7 +851,11 @@ const struct settings_list settings[] = { #ifdef AUDIOHW_HAVE_FILTER_ROLL_OFF CHOICE_SETTING(F_SOUNDSETTING, roll_off, LANG_FILTER_ROLL_OFF, 0, -#if defined(AUDIOHW_HAVE_SHORT2_ROLL_OFF) +#if defined(AUDIOHW_HAVE_ES9218_ROLL_OFF) + "roll_off", "linear fast,linear slow,minimum fast,minimum slow,apodizing 1,apodizing 2,hybrid fast,brick wall", sound_set_filter_roll_off, + 8, ID2P(LANG_FILTER_LINEAR_FAST), ID2P(LANG_FILTER_LINEAR_SLOW), ID2P(LANG_FILTER_MINIMUM_FAST), ID2P(LANG_FILTER_MINIMUM_SLOW), + ID2P(LANG_FILTER_APODIZING_1), ID2P(LANG_FILTER_APODIZING_2), ID2P(LANG_FILTER_HYBRID_FAST), ID2P(LANG_FILTER_BRICK_WALL)), +#elif defined(AUDIOHW_HAVE_SHORT2_ROLL_OFF) "roll_off", "sharp,slow,short sharp,short slow", sound_set_filter_roll_off, 4, ID2P(LANG_FILTER_SHARP), ID2P(LANG_FILTER_SLOW), ID2P(LANG_FILTER_SHORT_SHARP), ID2P(LANG_FILTER_SHORT_SLOW)), #elif defined(AUDIOHW_HAVE_SHORT_ROLL_OFF) diff --git a/backdrops/cabbiev2.360x400x16.bmp b/backdrops/cabbiev2.360x400x16.bmp new file mode 100644 index 0000000000000000000000000000000000000000..e007b185d107f7c6a87e09f35b35cd9b18ecb6d1 GIT binary patch literal 432054 zcmb5X>7pD(60F(HqJ0-)-_b5WAVBQ&Mzb^^gjo9CH<-tnfAc2ud}E)Pd1TfRGxts? zlo{b}Ztmd`87C{N>YRW6^nd?f$NoaOum3mo|Nr>wU;grc>i=K=@;qXfOQ-+x7yeIq z>WQbGc=D;Ip3?s(pPq*L>QSG1+NURWL>}_2g4et4U8>DNj5l zD%b*h;)$n6Vi$m(kWO%0i5hkbC3goWPdxqf6Hn`?_7hLL%IHZUcD}@|AYJ8-$YfOa zirpG9#+P{NQ_f6eDgtGMu8cc@OP65~GiS}w+eqd}mOSRHl;qfxOWyheQX0wVEf7->=fB2$aImZVM=30;(v zC;^b|6BHW;Zx==Aq+!|&2QI_sL@n;gOXk$!#4YQN)Tmcxf>vE+i#TCbA#pSvnf&5f zGq6@m7YEbFp^`5H8F=RbvxHgVz)f_ z(Pq0FRpS$)1?J7n!g1jE9Q=-J=eoXXkO0*;e8d!=?#pmJ>hU|b}QUs12p_(nw-PQ3$gL?Vlw zGN0mWz=m}t{Uk*{P^q>VrbV7<-rTQ1I~|VHXfUn>O#zzJ(Qedrj}BjBBV?JE|j`bqYU=~S1fqvkC>8ru!#*?r>4W5eTUL$AQ73GQrxHJH%+GU2L5!2@9?NgXwUb84ql!_QRaE zohL^b;V5+WpkYpH(>P036axY#Bf$}Ey9eS5v+hQt-joBg>UXS|FNl-k?zpmNcZN^r zT_Chqfjw(t`4MBPtn7&6A>W>1sK&#bN4`7Hr6N(7VKuuBE*MvI)aS;t^Dxbxrwcai z)#!q#$7lt~NXMsKXFYnIdDwM(*v8x*FsB`7+k6ipsu>R^D(%a%Mp^8ptj^BH1t_;; zJrTp?x*9u_p~Z)I&;i?wGE%P!DC!eBYlizH;}Dar_1+h$UN;X2)gnR;hdLG zbWo;%S zy7Ts(8#ivg^2)0hE?nSM%!w1{&Ye4T>Xh2gzwrF4ufF!;i!W)1`Llha0ofOUXGy6Q ziN`V{AqWsFNJrHHMKxD31lcr!XVBVa+*p`na8V@gR02p@W)j&Wg3%?L`#21ddccE( z-K2JdCxdbx5OFjV+p|Z5*oU^vgs^)9fDLodv8ys_F!8|EP?gXT;wyCo@g4U&G#0~S z%IGpaX*vRXs^fUI5nvn8b3y6f77DXak;4UcZMalF}DSV;FO8VFXY-=rz5l!rz zgOVqs-4AzzH;*N>T(F`}pU&pX?d3s_9RB*mUsd(CNs3#3R`D0)y1h7c`qcUJ=XLLK zS=)!ol`CpV!poAAC(oQYBiY%rXT_;KMB9wE6gB?Jo32mjzlxZp)lR}AG^J?*O56_A z&11(1JC}9GXXsP9=%rf4U=Oh^P8+R9+aWKh`g(1piihS$XI4;bee4ek`O4L0;Vyxj zrAYKr%U7XOYSN9V;Y(Vtjc`Y}vJg^f*3cWgNg81YfiAe+8=A~C4#sKFZe)ssirmdZ zo`elGMS%L4^CtRJo$-qO7?n;0cGOVJltcV`MvXOC^N_%{5aA)eORkO?c2*+*+p@f3 zO5K-i!h4z7NnZj7oaP)cK?cSJM8?3~f!iGH3}i)V*p8;7DbsQhtcN-74u@=m5H7&J zLAaE0x^0J^S4A&$j)%I%>Zlq#Al*qMH!yCl}72*G3^~J@L@Jhjx{V7cV}0<%(`RUVizN zmtJ~FF!?%n?z}dgGiT4~Hik4N?H+SRr58cI$ao`{3*2K*hdx!8p=-t?(U?zSCWY8X zr_Xi9ni`!3YWr{^Vr^ zQogXx(f&o)Y%aEOkHCiZL`!@Lo(tH@G0Hiz8KC%0jd|i%qhsd&%NvPVK(sXS9li4j z^HWSXDF)-R3t^l#Va!vi_Ro%@5L4OB__m^4-Yhn9N0u>T;`v`LDmVX%KbVg5*xJvS zmqb5-kX}efQn>-hKDociwsDy}R$d`Q}^NH+0{ja{9~}-GOLN zIDX>zw{%;gha^|8UVY((7j<)@dk^g!8X-w>^co<` zX_a&Dv3q=DZKbS0~qKsF;enpT=1E?5i>> z){`~hp1uLCZ+=L*eN!IdRu(CN9NZ0s6Ynj-83`Ok{f1dEH`TCRcAe7Zx0jLontaHU= zgdH1d{l_g!%cUobC!XvPNp58@syOqclieZ3%f&+w17ia=*L#?7=R&Aeby9_$pf_E? z6OVm64nm^NIm2P{#H!A?achAjB`$^i*9fj^mv}4Evd&ue2y1Te?<=Hpidh?Ap z-jMd#@#A`-^VVBeUw{2|Jy6xdlgXNMmZ5Y!$(;vvFv12eS?ks!C)8Ea;fARS7aP-T!Sy+bmOMZ7AQ)Bs5EL%v-spFpX2kv> z$YS2k`Uei+Ru5|MmJb1J0ZDmj<;k*wW?ic>VP^-hcm|s&=F| z-gxtsS6Cz-%$?0LH0b;FuLUU9PGDHTb29PrD6`G!1Ox zkUWGv+kZp^>&l5D>nw?ofkGDa*mF+Vs7DZ_0X162wX%5mjnhE!pQSpyI8TMYmJY21 z2-!edil1(?HrSj;Nzdjw&X}Vs%b}lTwi*e{lVct!#zR$zTgwb2CHoZm_yO0_!06e2 z#WMorVK$$yUWnnc#EnS{*zmyUVq9AFVM-G~k&-~`35LCj9)g}qqgTGVH_&Z>UV7vX zLiZcm3RLt$<<_lR*RS7DRU<|B6*q3&xPIe?_8Gm9`Q+2j*8R!HpL}xn-FJ0Uq30xe zBC0zIfwh_FJ(ug(uB)rvLwiN!*RNmKs}RxW&RH&Sd9ckmK8S zi**m)tZV>$(3^9UnJa_?#n`@c;pn*2M*~UVI;}=p?7AuC0lSLr%)SN_on??s7-KY^ zEO%rZ0_xQ56p4d~$vA=#FUtsLsX%Bh70@YL?B+YPip_R47Q6^e6uYF;fP}FpP9PHo z-Vc@*Klu*^s?H`zq^wmBgOjZ@D2^2{z7*X^bUVhz)H1RC3JbA|i0fj38>x6PYmHM( z%!CapUAFqjmL7$^{r21IZi81PpMS2+LC-yO=b&=ofSCQ`(Cc^+Z%3>d=ADb?AOZZ@^r>eC3MXgL&qP9(ssVZ`V%j3S`ov0FNu9 zx}-aHhIjlJH4z*8^zo-uJ>nchpe~XWnh*(ODzim$TpxZlA1ADB%OYnnEgkD6Xj>o&x=MrOx!{JbldcPRh}~sDM6rR52@Nu)Yz>fKr`2C*!Hn;@V4VL5)M8K?#-v4|NWvAUVQ85zxgOiLbduHYh0k@*WvZH~ zXZG1FRLP6a`fR)t1Jje3z0-B`xE^DtN@NI77v}&65_pe5(~u!mk7b;OAzkg=H_+o_H=GcQL|Jk4 zg@hzpoY)W46oqFVuQ-|%$Dw!6aN-)eHI))(SjhczLWXgQxozA*L7*~$2{kC1^u!zy!!E>U22tC;KU}+j$snn4EI|;N<4%D36|S1!M*8 zWt(hlSE-~fK8L#y!W6BiB~!p{i6eolRx>cj9S}}Z_6;*L8BC=L*MQ@hi$JyxPp0F7>;VThlfKyqse17HmQoJ3`zgEkFf%X~|V8-2^-_ ztGn6R0#597aBghF7-g7QQdo_L0oREwri~Bp8+uDr@2Jk*2Je-8_0`|>TtZJcO=(up zxRZ_U9nPLTr>#a0H?rBR=calzqSrY0Kf136CfY#sLWS2Oo+nrm2yvxs`$opT_6;_@ zDQiwgkf}9GbH7b`FigszYBkeD*E-h9DnT!kZpOaxv5N(4Z?QwNI*VD{nTG2A7gig4 zTf^9(*BTSuYEPqcy27xnl?yyZ3$rxh7UjdKs(a)>(}+cFEN}vc|vcX@XH9il@YP8FAX7s=un7rhP7HitN@KRD1dY* zk+qW^P!u`%oHmYYA^Xgwsh}&q)F$-7UV3N*QOaO%v|~0MYFB(WmZ4GKx=l3@Yb*vU z%dIZwxNR91V9Qv)bg|ysr;TV8TWtc2DfSDT5?_qN+d-D50AAsQ>X^ z2&dXD<{{iER?)k}>F#%(@c^7^GZS=^!p5o^bJZczRew*pl8mUy?*^V*T1$7Hh~u1 zIo!K<@11wv*3E++fV|E5j&3tPx_@7qcdkAJ9v z_QMZ9eEaQpu3fvPH)QpkR3Gec+IkC;6s_Uv^^vqkvZ}bJ5VZ5g;8mT3H0MY%oCJ;t zRnl-DrM8Ne-m*!f@Al#xj0^eQ3IwYt_kEECz}#b{QHjBhs*=@=XX0SP2L(cHx~q=q zvH{pSX9*~~vA2n|%%*P#p*++J<8pHZR*{IJke{eiVF%pIBY@Yw(6yaDcolVwQp*Fh z2+49m(`ht?jR?SI>M2Ni2Nv#@*rx~}Qay28F^AuVRicx$KO7+RNEehrmn=c3vx*hw zcRZ3u0*!s`uyu_*B>@;Xy5$unlZlYLZVIAt@hVpjUA)J_5i~F*$>Z6%l39xkRVQGl zAZ}KKbX~?N!;1hjVnh%Ms3-x*vpz_!8v|Y7Yy^MT#ZF-h_{Tr~xs|ph6eKX2+d3c;j`w>-ye%@9Q>08;&*;0nFqxRM39<>8En^+H0@r?nRGey*LOew@(TQncm}Z zaE@A9b&;TPt~q5c3!(lC1kOF3)QC&u-ASUIgmu0hjnNpu z>;oPsYyJp3I>=q5wunxwbWUhR!d3wi1JhS8?vyvjU0p%L(=AZaMU04z5$BX9)ROvQ z8M>)ia0ROWt{xWZq|9BDG1^XMFp@5#+Q!`pPp<!cQUz@td$j8sN`sjVpS$PjdJWQl+wag}M;4+dRO1=ND2*M&j)ndAu!KQ7}#XRlQ} zxfLzFrttU)^6kbVhvbjo8t<*|{G&MYLDSzH&H zQcI#-_V#6k-fO*e`p|5%q$jU>=SA<>cC~5vQ^=#1!DMTVOM(+mA5)bib1?&L zZj+fDEn*rxISg-hZneh~`K794#+(bMZX`34f&`oSAe{zp45nF595uUp8{Rhz;jpL< z%q@jq>nNCH!Df}L*tdNH@L>rBzB|G-WSM6{Z24f@X$7YCpX?ixsWl$KoLZ%_8~!9U z32JYs6Q8VgKj&Z9lGsceT`zZa5(R;z60)aZ?HhSs=uicM6@W)}uy2?tV}g}|IoJuc z4vY|ms)Aqp#>%NjB=eb#b-l9;vu_AOu*Be4>E(0z!De+DrVqU=`Ec(ah^>aIShb?glC zWm|*Rf)%CKwd}y z@ReGGR_G?9-Rd0`OxU{;8gaZ^xA>Ox74ibhudhY6S-9s@B7ul4HVMr1-#mL60cL=(r}D!f}5UX!+)(`BY0*D#vy zPRdHA`m-&I#6hj|%#k=kWMh2I(1YjbffJ&1YrU*&3~#+F;WdCPWf##VaA=P?Bg|rO zhCfDuc(EXJ;WW}21q#i(wvN+!vqYD)KjOtlzVs=s%a@t06h^U8xB zu<(pS!K~t-$?jH|Fk*MCYXYU8nUt~Bm>^?fy`qp?v`bixN~a!61mRXp(fp0BA=K6I zZs*`QLTSRZ(#hod#^WGV!bp*!t8DpsLY){#RqoAI-NbHCGGs_XRbsOvBdjh2DR>CaeYQy z4>oVyxT*UMeNN*8eM|Pk4?p_oBOUZT6bbcB*&8=+UcIVk9dEw+ntpH3i`p@?Z-`tU zDcAlZiAeMeL?(H}ksBY9u?iU@O^KMcLt_Fh8UY3k-c!!Fi>(}OM{K$bad<)-jxeKf zMVM;_Cluvn=>i7emgH&pyeJfot_4hC8-#`KO{i-#7bMFLtP9pPmkBKnv393=W0sSh zJArKx8*EFs<0&(zpyzB4v2XlnTr_k?VFOFX$Pg3Z(*=rR%tjC8p}yH9#x3*4a}^cb z1bG3XD?hP2Kvn++C^RQl(34fi}&w zh;R7jysXM39vI-N1nw}5Io+hpAOmY=LE%xdHMZs0%)Iwu<=({Y@g;4*C866Q33mur zuDtxp%euz(28#9vJ^a)if?i|jZsA{)uT|XW!Cf8xt^Pm${trFV&_fd4UFb!MzNDfp zLf=NwU5v=J9qA+I`f@$Lg5%7YcqNPkn--ax(SVqa8$f~{75$UPsf+{gNwK-;R~as2 zHTkV%QW~vRiFGnaYW-6U(cZB{gMkvBm0hl)taUXg69u!hNorpnPUYCn(MhcMRc#A1 z*HXZo%AdxJ!JAHX@nmaTvHER)lHUSL@THLOSO%M9pg4wSDr7M;TwO_*hpKR5*J?Mh3OLz}bBU5vOlGVrP=P4kAgf%7DI-KKoALOlB4PSSV4HuMn#@Q5D*-3H zG+9^XMY25DvZV3m!DDYQD&&pi1Yc!6clOG&&+6HQ-f8_xyTm{L>32}{PKayYM$wzB zeZ!?sJN59w4=#A@N$C!Q?Z5s-)q~J4zIgZDyDz`|ik^kat8OzKOfLsQaN-GxfD+k= zl6j}KArG1#39&e-^$uRW2s$iZB;ofuQgg108M#eNECg3oR#x)EQAOBBrE5>uwJ+_u zP`kRiBPsj2;p;CF2Iy~PZV}0 z!xXiWbi%M5*GwBWRvToE5!GQ99mzD#lbq|UV8&cSottJ~lO>T9=R?H$WQi_pA|+vI zF~Ergn9D5JV$2E3V@zmcq}k3;2~1S5HN=wyYkt`|Mg@YvGOzh?I+F1P2Ml?^?BJ}g zoEK-O&z#n`RQTNZmtX3AQ@_)yH(URys&`^lwH@ef6y12-|L7zAW*^;1=rEN<_%0 z`oA^nWQ$7=g;WxmfEr)H<+5?&5ly`7m=J-w3}3rF&4}FfigCX7nTiU+ro;DKG8`9d zH?9cke&emA{**s)&uB7ysS1yaV>5)Y5neW+AoIfZu$(%ti6k&|6N11_ilH2wktTZO z5KBsw>aFP35OO8y=orxB!s!hy$8fln2#<5CM&%MrW@QpH@cIvB3LRHi-P|OFV4R{> zW`cyc*eW;$=NXiv5b9h}B@DwlOtH+kW)d3ExKP&(Yo^(2(vv?3FE}T0 zBubo=f)9z^Gtid=YXa)#vixoh3eJ5iE zx~Uo*qmcmvP$QSmHa-HfT6}J7M?6F)BdpbFIcUcHs5W1vizNi5F>?9Z>>__$fiuan;)fol{(8h#z)4-iLiH} zFHgik1xwM>20gLV@6yrl`qQI{b#?1eg1<|;u!m)QHG&~_aG@LY7JMZc(jFv;%a{<}0xw}2R_K7iQe{|J zp>eQ7Siu4t6#iH(w6+0V-Fk;q_Z7OFeNl5`pjRb&(V@?B=rxSqQRT)U#jfm5e6Gv= zL>BLCy#6qlepTR0FTJdflj(6s_;u8i61^j%{mQR>vynk(`s3Q8Xk}^c#4CjQZcIXS z@t;z&!8ut15aozggr-KkbOA2H)de%&+}d4N8{L4GWQgNhM;x7^s_Eh@6g&TqC>^`; z!O`si1#6VfkIC&4V27gqG{qbmD0-b&!XK+}$EU9*yn-UfE) zgDh8qPR*K`o$16$<|p8|fYUW{AD07Z;xT8sgRUxEW+)MDgLGg8hQOnMtW4`{2k@5J zDN$~eUKwW>tuf<@9eCOzudfQiQqY7k=7S+Ao(tIrns{kbU{>*3p|9kTzsaGRNGZTn z6eTuPbX5J1U@#68aCEk2HasC3C@)aVSc>uxAz8#Q#4!6+%ZU?u-Jw?~zNXnXbf=-` z8}H?!)|=8A-1$GEw#}+G zb(O%lVpPZmv?i`SmHnZF#ukdK$(%K& zC|elXICIO9Rur#$B34)ynP&~0o#@oyy^hHj`$n=ku6FxIuM0fdP9!FTy&f7B@^R5n z#TT>`OXS4k$ujsn_c|qx1(izcgr&kXwC<7#k>pGecVAN`40voN{`y)&mZVu272p)I zs7g2vh^Z*k!bo64uOX#KrGe?xqP;hzeLD{m&ZrprtX1L_SyG<@)iFIGC*kr@VR;ni z>>Cppt~Em%gZ=`7J{OudQnPR9iG<$!&|^|*sR@NJC!V2q=+3hwrsnJh12s&yG^bCW z(W@1G_;lMh{`F6Nid~-wA&GLZ(6UiSe~*Qq&Sr4S{U(7w)-vh9n~V2O<~zlkDFh}V zRsPSY)h>Zfz6X#jMS@l0d^C#&CMdAvGGG>Qf<)&Oo#SG~uJ@`UA;K6u?kP-Ag?LNC z7}>UlaR{1WpYmQCW+YSwx4aP`CKJY_xbivG0w1#V%WaJzwVX_o8N5aEv-h&gQ000`3}upVq|6F%7Y?%> zL`V|r1G8YdB8%r;B0Xf#^9Med@lU-_`KRs(^g2afKe>AKs$OESw`kjOQFs%7Qzi$E zXBum*`xHW%J3dHjOEQHpWeigYV0=(>_7;o#e4$J{Fp;<0OpE7Ufm&(&#`#Z3cCTgxQS|FT^XV)<5apXQxe4(; z=jOWN83lz;-0I^Q$Mt8XPwV{`Jz3SS6VyG7KNSY~H{X1teM8Sr^u!g4458!6I22wp zymG009KDE?!i<%HaSnYV1s@B6|dHBX^ zr*g#d+}L*{E1U^q7wCf3|Ad<^y=`2CGu^T4fRHoP`R`N~6RLEK3N+}nF@zhIDHc?2 zdXqPrfa-Md(Qt@8RbMc%x#mn~x>XQ?O*vR;ca;S_)R2y|aR4J0TbVX=DCy_2+^xE` zFE5^g&4r0GW8)!Ac*~$-o%T8GaDocWQD5UiP(_s8q}*I6-as=Zb4Qh-gdH&sh|Ue# z?lRsHN7A9&CpNmwb#?3G-+Jprw;8&$UD-g?(1VV5@4l-~jS8R-eCjv$=wXPyu%cU( zbNUTF`s&(QZ7L!-rK4JB^*5*WM(l+PLh2X(ulMtal?UzTlAjJeOT8$v-{OipX8!@*8X z%f)BRfx$%-tHfZb2qJ+*;ckrfF3JOx=J2XP)~GD_T@X8iSlDRNiZ9#Ad4I|z2opsY zQu7w;(dl5Q&51Up(F5^#mQ(z8gyU0v|A0qs8c~F<##t;}!R#!{I-89LirtcLZNP`) z5w-K8?~M|h0Mgqwy;?+~JCNwSNaLeH*c6E)!m50PGnw}tid3*s_yN1K4@XoTqWx;? z&<}m>4Eo?!K5L;*i|gJ)S2!2>H{a-}4MczPKrd(XSufq3T)(crYw*?^`Vz{kuj;#P zdRs*1FTecqtNQZ?SKrd#n-)@U(0G?H%N(>B=@E)PxGu0Bmg*%?HZro(;>2_)$w4!% z&e}H|zl@qNZVU$%nF*0?vm=Ew1$Vqko&12KrPDBCh^AqFQSOw=RFWZOs)za(EqWKu`k#sfs7pH0MB*_J0+7vy<|g=)eIxD|i5RFI zl?;akub!wS0`6!wi0mp+%Qb={$5_rp#jDvETK@l|t=5srx-;9yRi zK1o$SBUCd!6{ibHTj(%O60n+uRO_KZ9v9@tBN^|A^OD2!ff$<8)ZM-jLXW8y;qfqJ zbRs|8h;2mPCG3@K&_H5=Mwe$O4$w^MH?J9lUF9H?M&D6*=_r2+N`Eu@f*w@ri)wlT zq5VR8#=pOI{r5N8L{xbRqem2~{T!FxPW`986|edaKGxL=j0d2ysX4pPH{WX0(5s>A z*Kg?0w{>wUUZ-OMws=O?1Q&`lKZ$jFGYL%!woP>XjAWEXc_9V1@*osSOXps~PIbtt zVcqLy2vGK_(;TdPuG_*8q0&a;X&;!5ehv#&U3+_x5UAS<0+6j+s*jQj%;$Iv%kx zBL<5YZ#Z8XD>FENC-vGxfA2)!Xw#p6{q(a>^=_%|6u$lT+wZ>rUiF*rzWa7pzxnpN zZ@&F@3DrpZyO!@|_w6^|YCHMt^Uw4V5#68YoA~-nsb1J<=axGK((x&xX-ca#oU4`# z7gd7pQGh8%A?6Zy)hPzzNRiUlL@0YiRpT_qxHM3@3@_km_c~quxq6nsl*{R2!XsmL z-TV)&6r=Q@8OOkvU^83s3zNEtoHb25f|OpkJDP+y{6Y=$vXOOjun{*vGLUg}a5{Bd z_?v}T(b9=0{Us4}SWD4QuF8|jGV9M&FJ*!Ge|CLqR1m6~=& zbe2i8%I0Eu+a>{jh)pogeJ)e@bgXiLX*e4w0#;;6CU7Xj4Lv2flMbaOcM>1xUIy{3 zBkFV#*(7zAfNVB;1A))zw>hwCWz3_{j~QOINTWZL^zzFuz4_)_*Kb_c15Ca(|KSJs zKmJ%By3q3q{nj1*_F}&4_IG}{DBphdum93ptE&DE%l-G?d;jgX-???`mR`BM^vcWn z2$`OLaHE%>Wq8-9lZ_ zq6W*Zrai@9j|;jfWp9dlubYIpR0kw>87AcAOaor{5EeqpsEZ@=_I;hAJw$Di<)D1n zg<(v>WEmKjJztoY71KurT2-mbI~)-07VWIiqOgvANIIFOg?NfF^;f?bAaU_&x<04F zahMC2Xsp)Rp6a87%L*}@z-BJNbc&)M3|D>wGs@nO(J1w@9*Iq`D&59!#B_b;V4zP> zje2V@s|m%Z!NtwGEY&4+X*)LzVHaZB($WB|dm3#Y{vMxh3-pG|j$5~G>J~y<%FUbF8*bgWaYGM1Zr!Bu=9_Q6_`-`a(PL9(MQ^O=Gw5s>+A+N6 zd7rc|9qP1^$vrLon?kFJSl|eDdmeF9#IMID)R9<>{l-Zv-C9AX$$rv6G6DGv)ZGKot&kN0h5Phf)3 z#5SjNk>V;c|GY6~0~rA(0ReZ_Y2p&tbz;U>Twz3WnX9ewd!d#`5anDIWSCG>gouQb zSJF;2N)RC^*fZFu69-iGW^*Dz2YrE4)Y7C3jcIg7;w zk=`Rw;cXJVf;p#ev+4a5{n1AK0zf?o)#FgTX`*kSxbebsdV}P79iP)XFM7{K_*mJK>VUV&2Aa@Fx++>1 zPX|nkZI+K@ik=Fweb|OLu(Cv|#x)qrp%&rn8=YFDMiYFBV2+h|LJ7vx;89CXV7Rfm zm^zcOt$`*Cvl84Jm_6KlXW3xD9i}iGOf=6VJ712PPSPT^^-}!*4eOHX%n3 z!98($x3XJ#CIS!3hncvVdU&#zO((9_;xJo0=xX7DsU&O|A@B$Z1@S?#$W|Fw<24T5 zNZE~s+o9v!_e)@k3!0+>OOtX1Q=C+IQi&6k+6Pihkz@q&lX2_n-fK|DPYe|DL*3Y6z)! zQ1m{m_7MFZVm%MhuM@m;=Z-ca4N|Xyw0$^8Z6?~3Sr}VU*B=KVA=&_@uC^0}SS3oQ z&BI9oEsnVXZ6>`@4z)>^zI!>Y9VEuaZ+qZA)bq#^AQ?Y?LH!~HpB8W@zy5>xOlB0IJ zTWgdyGInn*X}abOc0}W6`Zb1n+{mEjI|NhypA|b1`Uj*A?eo6!ts{Xrca9%AnryanUMylf~V`Y=Ql@SN{#k%Z$CF7Qe9SKbb<|(OpB+I1@ z=%KD|nL`#;b%RC`bkyzxRjJXgfiUsz_o!k8kA>5mZP5~0ER`GXPK;RpNePJ*dMCEYgA< zJq}Gm&HXc>ii$Rn2{4K^i875gV+#?O)t1$%?M;|&yp?8;g>)!P&re_Ar_V-X#_bSB z^x$^si4{ke`8gDzdS0{o{4O8iEi(;yIcvWSQK`j*Z6{%bz_LPUI}Bif_gDadH5_6I zp-wGQAyP{3Sm_pJ!9QnRWlx$IvYAP8=!GvN6w82 z!db6)OL}TW>XIQZQ!h-2C{ox3{vA8r+OG{S&>Tk}4Ds97*<2@JOcSs}wI19y#ED0? zw(n9a7gwBFwMRGuk;`-UjfPw;E@d)quol35Ng3Y^jP_RTn%tbxmT)G%B#ZaN4^1p2 z-|ZVEv9S(iO=2ySk>k+Gb4Pgm2l>C;H`rBNZAUn7A||QQWS9PY^no9E{wUJ>@jex>`T|Xo`2rC!ylE_T?UsoAM)bXK%0i{9Q596 z_6ltXJA#SFGbA#6Y?D*x`WB1+eB|xhw?(c!h}SAAa`OFuv~}oL2)^>lE579*98V5| z5Enl)yD(WZM+%`UmMT1u0k2#zx(@M(wQpvUg-HTeQz~PmqECW=kI)i;E&{BQ%Tq?V zQWVZ(MXDK-8R^u?V_1Tx>d>@II5M(E7w%GtW~8Q63Im_O74i&WvL=@i*|&!uhcmJG zW~2?W4%QIHqve1W7>TLPT>2U6Ep0dn|#wfG{6Aj06A*3B3Ld(~vvmuA0OZu_XXcZ7BtREM$V-=?VGOJJhO z)dV4h9nE7VgO0OscvUd7xn0aE1R2IP-N7wm0QJSL>2j9HD42%|4K3Cjl>9u?UBsuK zeXge#JcaQ6fDU>Hdhg!*dSg{@r~1dLL$Coh^`O3*PMv5w-FD|}F{x+HT)cEiANkOS z(SP7+344a}4V7T=1q)CVA}I@)a#0@fzzxl9{L z<{+g~{4hl8C-|9lBdu$CBA8AFDOt>CTuUt)ro1em zS4^uhrV^~?;DMXG-kWO*c6_}MvBI9_+Zz84H6>zODGVVg{6m$ z^8;94O4A=P&|}Q+^(2C8`UkynqNf@9=9=C))kY(XSB_gcG_@A%V8Rtng3?jx6;OMO zNc5qPkMDo{?Kj`RZ!g+E^frxN%jo5UcZ@_C>*Q~8IO#BgL3`lzc4ffJy@A4RWjw&( z;zkUdohm%#115)4bH=G#!;xw4S|93fT&Wp9P6Nb{{N6TPh)S!%>%aKF8%5^Nhr?;h~C1{(ziV39WIvkdWm#R1dvGZ|ic@L(Eg^u*t0SHAO*3#Et^Y#btg8cBK z_6jP-#q7ES(rZL^>fesdU zDo7JeI2)@OqASmG=w#UioUY6r!Rga*r5NXpB}}C_d*+-g<`l$OM{SX_X7V@yQ(to; z6+!6ewD^4PG@~rDmw*X(88a4N_NPCp(*~=cj3c32WLWStk&M0FS{_0j3#Q@efyHKn z*gA3Gt+d%uOzGm)dmVy$HBgl<-)oqo^9XQyzkOE z<34>(&W`u5 z6QTOkNS1V?N*^IcPbd|H%dJBSC$_gYb zHa4T@xZI0!TrI1i8Ef^s{N#RepRseX9d#dUG|4G3x|C5i?Q@M#32YYNZtz9b#kn)u zTD!X%ZFy1xC9JrLm!9n#3G@WTN{ufp2HW|WIhbG|iJ7BJh~bK>23;QYoGSJoR|a4g zkhnPlG+T*IUW4vPLe3~hA<_y`igDys zpreb9}}JIVMJkH>qMi2F6BY-~k8&%F>v`!HzwA4AiyiifTwowo*IX*oLT5 zvIG*m1KP%(MdwI73gU9LL%3GOsW?otg!ND(0-9!9S*CW-s7Yi%TZDTsN@<2?wMHVB zqg&DKqC7AsSjZ>~QrVV` zTZUQyiI%fzMW~`V}we=|ueb(aU&6{`b+_~j)`}Uo;-+AXQ-%Y!%9YBBJ zk-y(~SkzBlV)3ZWN55WrNuOHRXGujU+8a0dzP+e!-nz+WLiE`Vg|Z5lysw3XrAb$H z@sBfx(7`Zn)`V*y{8H1i`aGIzROeayfNT{Vz3{||wdOs4K77&^I?n2iU#HqMj!S78 zg-+7D#vM+o8x&f>+=bIK8!Cb+c^Pf&7-?C@Y2dk*MN!60vtd^&lyEplvT4bFRZi)N zsX;Gag|flAkkgbMbX-+68gM(6n=9lfuqd1#07duA{4YrY+XS`4##ac7#27CPaBDD- z9GO&7i(}+%sY6*-^!2}|sEQ>Qxh%aj`BAl%*w{=7CdZY~n4flbo*)1@1b3ZZ8!yIY zexeE6Xx)=}T@k-1nhI#Q0S&<U7^`5MX-u`&=t*ffE)uXYm!C3Q_{xK_np`UFGEtA~ zg-qh|IeCE8WY_c{OLaYu#jf{n;%IiiCwFjM6q~pmiD{+G3 zL`j-F9#55<=@VQMnc#yAWtHD}8#`$l=K zjMr`7kk0OBWn@ZNLJY>r#(={tMLWmwnn@BA9%9DY#&!&gMKbORAPCIOjAvE-r zpum&?5RIorhXzKF03;~2ZP3F??E;4>lGp~}xKN464h3P+!a9xt_PS5ALO-N?4D0`Jlzpc?}=^lp)5%zUJ&ve$b zrBkMboZAemgCa;(tFV9XAt$bkOD*%MsXgIy)83gi;6I&^ow?VilXhWyIuj zYCTGA8giJmv}Y=GWr|2$_R9`atRr)~LkP2N?46NP70EJ6gN5p1oDmf`c!So2fmLVq z8JJ}3jD*qHbaEIQBSEG{CWpNjVHffR-2S%d>>#ga5ZgE+>qTY!GD@_atgA}B2H6Y(G>aAI6JfKq-?^rZHs0~K$qNfph@u5ptPd0w} z<=MeXI;#d<+}9hr5(QMndsZH#7I46rD*k}3&O1|-51-<3L? zfzY8a0u!w;p!20do*XmzYCIk265z?+02rk1l&)lnC%%Hq8{4^HiH0Jn4eJuVI_KPC zpE|6rXLRFj;AJ!l=EN~DiHE%B1sP%iWXCMiaA!*kfs!iSZ|Hf1USR0+75b!ke$_C) zPk2}LT@rotLPcl&mUI6tNd2ms@bkl;e*8(lj@VY= zi8Tai>E1&m{>A23xXn<`)@T^7qxHGD$Z0zk?GZXpdvi^&vSc2TB<_~o>Wzf-1U&wQ z_6#bb$r$!!i^vvu*sZOG7I%)wj^i2vSe8@s*wJz$KILI(Y+xNNR|jFHw3a2I`==z0 zsj=C7E@nnYA>|WLmk72lNr6Bbsc-Ssyl|e%I9!6moxmi7W-(iStdLILG7P-pjqDv3 z6D1`x2!o6(*deQ}GyhV1CaNe#V>EHmuvL89IN0GpA9Ig`CK1=Hfo@>Rv` z6P6?gv0=gpyUnCX=HrKM=&A=5Bo+%~u|0Bdv11Mf293#PwYOgFGz==~BAP%LqbmR^ zPH1Sc>PhB{FTSL!`0l%R-+4zL<={*4Dek`e_S?vGbbVVzZ;0srM6YYO#bDcD&(PMP zPjl(F^yrOO8R&uN(5y2&s`~S7qLNcRLG{3uu!QHM+AOxN)eCwZ5uGQ|?PxPA8A1=lf(3+d}Zt{TSP zRnbSB(lwj?!epF}tGSnk8E2{L<5&NuaM61>48vFWka`$WDjBKeaOg3&Z5Tzu_5j{K zhM!Bm67s`Nk{CGppGlTgLjz=mHzk^T@1>A0i{W*V(==>_UrSlu5HewQlPJndw~VVk}>y6H1W8ULMN4=dz@IznX!{wb9oX7 z7n4}B5>+)7X;dL0M3kjbD^@y=s)9X;#pk-xlfE$7^v@wT0;Sng96XPky!Xvx;7r{P^RKpM3Jk)oWMvyU+#ZP1{tlgdxu|)b{5$ z<(QHYHstiW>@r)~5N1yZnw|^Gld2E0XWt-m6ywl1QIY(=?i>6VG!6JEym0c)} z{h5Ld1*2>lI@YnF4zqlWHkEm*W$fLxBpOG*?HkP4Kz67@TPp@NS(%|IC@CaQM2;xo)r z6g5h)zKoUwR9MBbJ^S$RW)NWrl%q$^(SAWCaM8$k_KkTllbKxB_J$9<#uq_pp(LA# zd17LfeH9jqUhR@`ML>dYH+E4(prd5$8_F}m8tJREVrlRs3aSVxLFS0D9qiU)^#Plm z0EpL#!B^@8mgqR*j=Z?#$Ow)b5)tS-v-&;e`jbq0^`WPuKmPO+FHF2)aPSii$@Gf> zKm70`{qZLK`eGSs*MV@Cq=tGH>iEpHgjcsD^d$Kr)o$QA7hzWXta}Y>0eS@5=29}v*~Z_Nfh#)D zo><>c4P!`9(b6<(_N8-KlBhR+(2IOI%Lr{hO&CWi5ZbNY={@g=Esh% z(qY6b=DrFvIYf3#7b+0yR@)C&`ycs|l|&0in=`rEI+{r2d$U)AHe zryrC4^wZDURrG7V^xc!Yckk-0TK(E{?IAq;^xcd1LVRbQCeDNNTq8|5$QHpItGW$& zG`n+Fgb~i_0!!rD19H(&cGeO`nsd5F29CH^Hghki(jb8_XOWfhs02uK8I7Kfb4@FdkSkMX~T=v9@oTRe2Hzde8baK7Crqj8wr#y2vY6D9k^9CGqCOsnvScP zAu=2hSdB5YxcXR_cmcdth8YAPJ&gx8SCi6K$x7P@1A)p|4z_P{1*aRHj>4yaq?nQg zymKBKFYHneROiJ=0|z58uZLd`n~OvSJZR3$^k$5_CE(#$HnyxTo`EdFv`pb9{3yo) z9$Yp@BxfbcAOzfO=r-W|dA-M>4_D{|TKWd79+vXGl^_12&Ee6nkF;Yv{N)!_eQsQT zUrAqJ(J%JVCqVV)i0?RlQ33hrk?uhBO?mxx!7o1h;)8o1h)ut0L!aN!=fU)1MK56F zO};#Yjw2_{)33+_g%Lya?2TpvA1tjRn#qEwKPd5HMiu z#t4Wh#im)1rgCnFNDzCh29E8TD5E57;N!uLHfK9a0&+x-#C|O)V^v03xy4#DsV-ii z3OYumVJsn_fqUCH4$NGK(D^V*Hntky^fww)8W(Y0xMLrzdaC{ptlsD3@WL|)@wZ@B z|ICn6xKL#@Hy}zwi^|Bz1lmzav12YF)}$~rDXYt$bfBgFEZ;u{nKgfphi(Z`QFF)SDfB)LG>-t3KtFOLx z`_^qe*3j!0;VC+~A*KgsSci9@!ZuFjQ2Y`Ro?}4z~zN&EBO&CtgGaD z+WE{gS8mZQ)m5gJ`FC$p3r{<7e+0k92&f9pK)*d-}xqjT<-l8*SIFU%Pru zf2vI{On9{dW~|h3>JKEhh^q8t3LvTN_!Aiq%#=Cq2cJqM1Bg9cj-*z z1I-222+vVrEM`EvFnVE3c5Dv}&rGc2$=WYF4r;}9Lw&q>rDfm9f*_8JhL$sBV?y&a zB(ZC+3#6%?Da@eCHIayz%hVZZUBKAbWq{2=h@h5CgVE2%{&-xeEq(?niwQ7Go&CcR z$|4en=Z@Ip9TU4}h}6a;a*~!{b;=+r@O=)H`=IHm5}qFhSdEPTT#e4x1Z}1*Fj|W| zSyVUHIeT>BYStZIG3S~E5|x#w(R(mCDSXOI*d%JkXQlmTpp1<{YBq^M)dB+Z3I{5Q zhNRDc>z+Xux2|hmW^koH`bCc{c!!n8pX>nMH`IRcV4jK6`I*mfsDjbH!A-}{uGcK~=8mpHPE(3kc3PSZrhMROD1U)? zZ4}m|vUHrhg_(ul+>`#vKTC^J`c2`)Ce(4hfi~F0^`{JWqBu(c#+4+v5{8QwjbRA9 zfKV)SltN@L*s@de0%cKwjZ3&OTM&OaPL?rCT|O(YGNHPwmI6D{va$|J&YyL?%&Io|05HdzQKo5JjzZT@IgwT*8iR)uE^WANPx zz#5;S!KGG2jejhPgis}zfy!#i!FbUNo1KkT+#sZwgh;%WIa&fr&p-9tQV%rrt<~Ru z|Kqpce%AxfpMH9vmlgNkzo+k`>BAVhL(tO=?<(uYL3bs;weoCKuVpsCLjGw(NO{pa zGWwnyw;bBow5P4elCUPnNz#Zt1Y@{l7+ygQ@w4y?}jGIB`D=M01`6w{vb;!Q@A z$z{Hn44awoc2-G(Gq=Gyv@CQuH;6|w%tf&?5NjgWQKQ>NkznC*nNVvHBZM*WyaSA* z31`&HhiPhM>) ziD{2bqBRtpeOb5YBMfsP+cg*yVXG-C0j;uYZZKPLa2PXyz4=7AW8NsEY@f~{y6oZi z;@FslH5f_a+0GLxl7O~GQA%CPX1_);jT+m+JlZ$(tWqyJ^mtQu0y=(l|Gu6==o6&+ zI|X__MQwed-cLDx)s4cc+?G7jT}dVE8&lQZcYX7M)9UJJfTV!w1N@hO}TMP533F~dD| zCxO%5G;mgk1Z;2QqUK!^FuSnt7!YrRHsfws$%7qDT)4E6e%5Q> z&==nI*Oc^9MPF}y_Sq}iO!R(-K7ApT9(!uv`2COHb+PM4p_Q8r%A;TNnkHLCj#doP z@7QY7048v{zyAK4KBE5K`|sYgp2jTs>dipAi3O&u|i zHmW^1mXNy)zy5A^xU`G(`mFh-ORPJ47|i4lqxjIw+DiRQ$vSJk>}Xw8GKed%HS-Pv zY-wgci>;0h0yU#f(RxB`jn@_^GZ0kf8RzO)EM?;4Y!WX8VpyOg0hsM!hZ<&^i+)FD zo|y(1Lj;(i)tDHiaj4k4O6vlDmvV?v*A+3K9UBZ*sE6T5Gdx8I>L7^UW3jsm5m3m3 z@j?-As=eA(K!l*`Q0zruetb#hQ9?9<;nqt=2eV;YrP+)v8I`ia>+ zxR-eX$24=M#*97jde?wf1;1n;#FnbIky058TEO*(nf8h+SDv{nrHhGlHETt?z!a~|6KN^U(Q$k(y&Y;QBT5Hm@fH|TBy&0ffcC_8Ci8NC-mgvNAUiU6Z z(IO1D7nw>W^tVCm#5M5qrS z)AOJjt+^%~A`?equIV-^n~%FA#2zj&`T~GLw=AqmaXAj`!t0|o>>AlOyiUA?8KA4l z#3$y)14WdyR4nJ-!ObPfrLe|lD2Ht%a1*P@+l-RTPSoR6RxOcmE9REi(SQ&j-;3qt(}G$CX=bS2xv$!vTPSu z%@H5Mmv4!Or_S0nTOzef++=WOYaE;o%K~q4fk`cN-w$cIONM^=vkVE#%*7$o+I%$} zG+Ce!)T{CqvH_v0Y2t#{0y3X!M?MrxyGEW>Yzi)$ECtw#iM(YPh;Hg|`uf={)D2=p zgE#TM1A&Ari9Kl|wDq9tYJYMzu#Y>b+#CxsowAI`Sl~KWS6_~RAO_<_3*68)I3a2o z63h;Bu%Uv{ADh-kJ9ImeqP8gXF%NyO?cu{;v;*WmLp#Q!N00PDueaZRTfePTU?J7e zukO)@J@nNT-I8cq3HXmc{?OYr+KB|vt}RF6Bwp9FiDO&+j{f4s%dS%SQWRd2OR5;U za0-t_knlfs_yg^6AO{@kTn-x4Vn}P7@QF|m-K)t0BjaV|wBmgQU5^65&0(h4#Xedr#tJSK(^WRtVENF+>A2uRD_^W*4u0`UUuWAQUp&ixvnU!`?Djl$wGmEh z=i@QV1*VqlIkC#HN+!O8-He^?5R`fCB*JdRF+ma(Du+7ZL{I3X0Uae*2pOtABD4{J zbW6u66_|hFcBHTlAuZ}-f{4*riGFJlrU{F39}ZtpL*|S*7%ry+bNeLTG;jfl-gP~gn>;!Z;kg1y)nHw{|j5&Yd)~(z6gN@oKv~OrPP}R1eZ`tdE9NIDTO%v|m zd>g077y8X%`dI11hrj&s$A5K8qN07{;lqd8PxQ;g^lC`s#8awIJw(JcnKFS1>9wRq zb=mcjPQ%bkc$|rt0#g3sk%})MYc{!h*Vrlgtn) z4&$;&R?j=5qm4e+cKx1x2pLMrb5$+X&;?+z znmfFy5UI#^oDL)k^`a;+(z-=u{SIX&yo{$^qsB6`lBvxFJN7%f<-R(B6Ut0v96N;N zQ^d}~V7C~|Y)*= z@o{iGK24(P8BcPKJC?yDtvmvGM4>3lq_ZKC#g?(MO+xh%mQrNI637!SWtlWPE`Th6*X2;<8$A9%5S-pWPmEKov7-8}(>+>OcslvYThrlZT z)%}Tnak?Ir=(fZwVFqtwj0lmAa#F%ME56lCgT4){Xmf#P%*Dh*hFNnK5R(A1W}!>R z1T;M)ET?IWBHYk!nZ;yuDF)^Mx(22x@3h?wzZuN6X2(zrr5!fccpcclQ4UhJYWVO38htw-+I^%sa zjjzgKu7H!f2<(P6Jx*rK8)iHRdcdL1QiYm}m7uzC;%E(|9^$)>IqgZ)tI+%fs-4XM zmt(crx-}{R{`d9`tadT4(csKcBC+6JFo)$kN@#MgV(;Hk8}8b<2sL|y&|^3%ZMp< zAdZEO2ar*qP7P{+*o{>;9y1LXH3*K8RpOa2k}IG2woNz?})KMlky-28Etjg-s>+OvjI33w_Sd_&x@9$YmE^sm zJ{wq$jl*P51$HJ(lG{h%=yPm{f=zVYr{+2@}FdBn2nTu)q2rqJ&jg{h_nE6Am>PnLPEI1|^W1 zoc1||@zq*A_$%Z&3MpwKT~~@L4e19Jj%d130b--_l$FtCpXiH(g5{$w2oG#!B0#=) zWNlfxxiKA{u}Ft;`h?=GAiLQyx9w79MSU&JrkGR?3FGxTRKBCoeeTlRq)QVmvR_&W zdyB&piAl{?#c&(rblvYf6`g;zsPsiSbmucLXD9jccTEuOJ>#>+2K5sQr3AZZaMEKJL(6j{q_Z=<+-?rBw}!(aU3`|rN{>XRq>Uu9o@`JTS*sejDzAAb7N zfBW};_Zg$(h4$%ZpXm=}KY0IxM-LzI+Y~1_dZa+-#&gf<+OEGrEPX6_@4XZ3(jVR! zYZN2qjWUYMSVXqxN?--W_diq+{fG~rg@J(5hStc^2 z!D;v{fnhkTO@wVtA}|$k(SLNeD5}DhfXnO2a-7zX3nsWMi#x~deim)!oUCi`}*^gPe1!qM+f~A z&V)}t{Zv00rf*#6M^8Wa;C=l+FZy5LK9>K}&p!G1Q=KktpMI);azTIiqJMAtQe#sp ziwp6>Y3To0*{RSDKb7-vKn2*xWptv(vO6qTBTiICeO^&;Qp>4F*!5K+4<|(?f?$}P zI^dWs#2F@d6WQQc#}kKdT7!g2=VpumHZk+?lB3wG#X%;WQSNLUH@2ud+a{X?A#~`Q zqu@1bkhZxR175Ab;gHphdl`eNy+H#XOy?&mv1QoUl2*}XCyWMs1;tduczHdlXTc-X zOVFsk4dnZ-XOKPE z!mq0=9WM=YPj&|Yce`XA!axnk5I&lc_yy)YNuPT{aqC+g`e&8&y-*z#Z8{sKeMbA8 znr_(S(uty8%xC)HjUWH`NBU-nekT+8Ec~Te!dBCRME^K;q2FxX(?4?tkCF))LkR}QCgEJYO`7w zQ=6nB8F(BMAMjZy1mfc|D;CTynPdcmIOmd~Bh3?zTG9o8`MKv|b&CsI8ZZKRKx4{d zUSbzcun7SR=pf<}#BHeq*@tm*2}LKUT`@30IF!BXE4EQMvKq-0qvPj^65V#?F~&=0 zu|iB?2kfjwo7Q&nC)A@Ut8#Ak(fG_y3_>Peui^9FH)J)T#j$U^7pV(L`cCGHFTMEQ zd+-11SO2Obq8}&x$wT8$KXIP{A2-@R%l+^F@gE*Me5k{R4;xO;!Y7BO&s&w1O?oy* z6<;bo(iy);N_Q1mDt37it$zK67H&-;>+=H4|8i%sJpXp-$#a@gcOH3%jhFlh|E8toES8zZ^A7DeBdieaO^p9Nx78e5r zowHNB30xgzXLFX4=K>E)=Z3wuxes_9-reFDM}hEsYx9yd;l>DgNqTZEgH2|1DErLi zvEA|vM-ZT)4-pj^J#3f@($GSI`1dk{aYdb!#>jj3Ui!hi@BZtr_`wXH9{s?f+4O$I z$Z;X}fBeUP=x_ac`s4}9oX=}u^6KEGU%Ux?c~jeWw~sk?TO}Ap&kL)cQ00eI71t`3 zqIkVo0qN#9Es+jxuAy)u!*vOd@>q#ZJK`;>9+X&yF&@e9rW@)=Sd0ef^ITsV>8LUl zZ$2%604F|tqzaK4Jwr}4O|BA?bEpj&7zfEy?5{4JMX-szf40XgU$|jK5_W_ z9+~QjOTTf^k8phO!3Pf?Jg`X8LLX_9ERTc|<@SE{ zh8Lbw$K$4)TUYmJ_LBTBEC#$o4Ci6-Yg*DSX3TyOjjM`X!F{5BUCGaXZa}?56_})U zVt!uAL!pT~U3@d@2oL zc}r~@7gG(h=6TbPL7UfImYiaa!S6J_nQTqC)-nSdBbyMn`YfSqmp~>~Hpp{7Rh%`j zA|e(xSudve${W8=9S3FJa8g*uaiPatBt1>L}{;|RRYIlq$&`BkUU3)$UW`nq^a-T3sxX^?IcYe3^>sc_fd4_i*h zdi~J=Z5^Do@&`nUFf;38i@+C6)Pec z9YCV|rp9%0iPzKYTGV?L`RHi5UAbhy=M8QQLpYFpwm53z)>WQV$ZCa|Vm6Hl*B7XR zKu}$yhQ_{B*!PT8sexo}%~Wz&hFN2M8R07lr6-5;kZTP4!nzbckkJfLew(#mvrp!m z{G>IEbnXojNuwqwh&%y7(2cskI*aO@vX;@bfsOLBcbQ~xWg{yj*2 zgzz7m@X_LTpM6T7&lfiJ=$MZm{PBu@%tOCh(I2LW%E{o?Fe@*UM*8zvM8A;U4<6dy zmu5vUL|J{@@*42m;yOVl^|Y?sd~L2g?H9Z^Zo>KSlU80$`ZQ$lcJ_|Wo0&{j<08GN z&O8aIMn6fb=C{FXF+1ugMj8)FH%q)?-qV31s)F;xBK*or6Zc`Pc_#K%DreM!*8GTzJJLv`W1BPbWFlO$VDOn}R zoxoXF;UzS30Nyt2Uc(XyQKjHuQlFMYzSa2nTW8P%efA%_7ziHYi>=k(oi`}o+Y{&`k+iAuH-|XA9217Qr!|a98JOXtK z^(-UE(KozS3Cu+HuO7`(?FL;4rjXv7YUwDua@Qq3=huQ{AWs#JE>w8I2tLgexafwU z%#y8LmoR3fhaIDjGGh&gY1|}dOsln;o$poxVjMi^t#Bx!JPK!znEQI!1mZf6Y4Xke zpt9AVON)pI=iyks#kZK&V>I@P&f&6k%ALiOdum^7b9$-GLn4e;kz@^12krOJ znRo@rH~DwT({`(*3M?iHra2WhfM+aSJ!hinfZ}qP->1W9Gj~)E{!(ZrcIoEeZzu<7;cmewP z=Q?fl?4BfB=0!@nPe;@4WNRzxtK_ltd?m zK2dO9eDQ@&1O2U``d?Ar{?2z^dF8(Ts73!9><@qV-aq~FpY*e$oEbEZj^BUz<;S0V z{5OB|H~MjO9ao%+QAc9UWqI*fP!`OHuNueLHc#pK7he>EVqf$rA#+MBXzB_b#ur|E zLH$y@^0UNF?=(h%07qXin1x_9swPeKw>qQ5xix+Mxfki61{1=Rfzf&+IYR?um#hT# z24SAEwermHTVyI)Iy;6N`gRLMrVU=PkeJKBfldq_H$;=qj|NKwn2U+E%nCJwNaEny zA;i(a21WxZwIA3P4AprghC9uSNJ=Y6W;aa31P%T+*r$b2v%!Xk{m@*x=ZPcMESqUa zkWy!pd;ghW9Sa^B861`nuFD}$f(5v3Xt$3I%dRp-b^u7G-nuQ>ZdEr#IDIAzI1#R3 z(oYH}@*~)2-cr^Qb#09r$y@e@|)lMMt_Bu zjtjmmm&ftkbNZtbeed*t|I7tR;8FTeQslaGJ$lb<|!^@)B<1oO4+i))2= zypbey*D1>qf7jg$e$I_4nI$#4Fv!$=T*>aO!RiKschh^B#=`mH4$`~r#Vk&Oy`pDP zndb4%YpEE0M@Q!wIvvUVMLKPA`95UEMj9dZWiKlZ7ViY!PE+8wTNjtJD=Vxk_UT)7%cZLHiE9wV!Duk&snUCOSaEe}ov0Mb z3aqrI8ie`Kq|iJa-NZp(RdS`D?3NaFrt-OA9$j{G*kvL{hfw1c?Q-bkj2S zl@Zn$i-tq?Q9mQH=D|HHM>;n8zq1B zoc?E)_uhN&k-1u_l3s^Dt%-OKp`2jx9Dogt=Uk^ zJ}C_H)OfLGA9K%>Thlx@n9XF4=18LC^SCKgc^PfZrOLV}SB7{*JdI)dEaA9jE_bC0 znQR(ZVM~|$&=fL{C!s+Mv+j!@+u)vY4B*@c3XMhLnz-KkrG$rQkOWT^4Hs}*g80Z} zFlFh(YCf-KSF@iXPz!iR^VEs8ze{sj*_s`iv7n*ZLtoP)EbE+f4k$BQr0u-sW9j}u zZ=aJDCC`A8gPCik;%P3_6Ndz;tH?6efueTr<(L2V@BY>&Kt4V2X+wW!x&Fpc{k}tg z)Dp0e90Jch|MIH_g|>%+ot-a$3Ok@m*4s8zt+!->U`?TW(mm7 zqV75}qalT^U)g=Lh!^P9gW411$e2QBmIAP+nVCyc=^hJSY_{8PNzAd+keH6im}gwr zbFzet{W(EPI9v7bDJ)x)#pUTTb&fG_x)v8Pm)F(yORfaMlDc|NDY;guvW=1|v-Vn3 zsjnvTN+eI2PtsYIXJU36;_>=;<(enFQ!!$uc#V>eHDOsQD%PeM>&RzWd$Ze*E!QUw_?@-+!q;x6QfX&l~1PTl@1by|fc& zp4m=p&}_C#wUc^V+2l&NgV)R8rE%>V4H!q0C2Z!7w@;UsUeq57smaLutXrcPk<=G+ z&2t0McG+VIo21FF?rI$!c{t*pD#NZOc$^g4u(wNdbB02#ihSa6CoIMWJqvEmJClyo_X1S7cH$jn7sH*cxu;Cn1m# zav^dKHiLS3ec$bqAiVA%JA~A{W5VNL?nU28YM$8U!6k->hBI=5q%?dY)6&29zJA~F z$>*Q*=dJp~RvjMtUWb0#Tz@Zz2>6zTeqWi78_zxe+S8|h|95|<4;Wv4^|kQoUw-+O zzNh-LpZ{Ec514*0!!o5j67e#>@DguyY`mCyLDe};+;sznDgqEUZH9iOfs1N_{F3`X zD%x2RkrTk!Nlw|``}P^8iw_Gt&Hz?HIz5oMLhugjG$qks$*2<`W_d#vovjNJsWWbx4A=mSBEeQs1m+a#*q{!!3GeBO!7uOT%fi zqhb$d10uv&!r*rmP600*SYaEN@}vk%?at+AZ!KUG;4NeBp%a-~c|+km^X6)jwR2tv zFIX&P*3D7tC+r!?Mw<;`3T_qB8n{cd!@OaKSZgC}&Nx8oE*u_zY2hulgNImNSt<|= zdw?fm97PYz`D`zmvWz;%pys^yICu{;DiiVQNo>-|t0)h1%IkX;f1|(e>)-xe-`4o@ ztFPuH_)0&cq3?hG^*i5HP5K8MwZHW1;loGzyEyb$3i9cM6GOj#uMZyjt2o~K-~;_t zV4Tq+p9(!zeX4QwRFtIkozcy!uyJ7TG@gX_&I!7MFd#No!_Te}B#$CiI(?(9Ofy3A z@-d(jXKe(WmaIS#6?Yg<>bpAQmD>>{Ldt z2OnD0i6rECx~hrQHSh#iykJ=vR)U8t?{A{$Y)W+lSEnb z(HN!a5V{M?_h6sn|7H8tuk;zi2SGkWe5IR?2K}4!zxc(6`m+-KG3)og|NUQn^haTy^0+?rCXUko$#d+Iz4QwKUW5*Qo z`nBNh7CYB zv>Ro+?*N*9DJma;3=5uMrqfD8vZl%*|!s0DL#0EY1NpzxF6;H47Fsc5Mc;02cXu?rNDo7n0k590;CK{--4uZ9t$RplCrBwt6 zp|!F4)-_rj?1{3cihIye6Xs}*rlusL-j#IaE@AM5l$%_d0^i!UKz!o{1uSSPO+nIw z3{r&W5T*uFl0|Lq3{3=$ds8y6RP2e^q#)fIBuG;bV;(2Tuwmp)BRiBYy`aUTJHz;# zOs5!cmk+aKCqdDr9T6xAA#(h@F*t?4u(^~XlGx&{vs^Lw@%nkrVvZeVhr*jcO>Ns; zOq;!7SPUxEiZ^PO?C@m?1Q?SHoc&H=XC3D40c27oY;bds(f@H#voNo;41kwOk3rv& z(Ck4x)2qBcc~wtevs_4t{KWU&AS+@Pl>UfJDzqUH0!&FJ#}G76Ebf|=(v{IFFH)H*qfyh zgDtQvIH=|^v)!gHFjj{kgJyl$J3KMi+M%Wm4ffgVemOi{^krauaE}bXhE7(-5j;Uo zGnp+_A=V*^3?hZef@h^vQTC0VXjj#!TrT>@FqBQP;DOd}w-?NdmTBL1KLM?WGG{2S zpHE`D1YJsrszl`3r&|Um`Is(5gFZYYQ{&c}l{n4%aNHrj@ea5=ps&?>ETfyMGuI*p zdr7gT1!<6|Ma{ZEifBJ1yu=vQTJo^xI9;CAyb<0|ic|pIQ!l?4THgcFZ!z>24)b~A z5Bfy$2X!4FIX84Fe92fAeeR%p48R?WK8NTp^ZDR?e_xcdjyw^mW8@ftGqUEUU}5M>huzI)vdWcn!_{*HE?C;fpuV#gwp%`h;ROG%^o4=1UFZUa{jNy~hs7C7|`-&zFK^3$KHg zZiTI6T)|Y7W}UAvz;5`a7e(~=#~Byl9x-tDAaGe2EGFaHlFSqA#c~T%Sa&L;A#0Y$ zUDgCS%;R+dIUvU;$}tYJjQmDIFS2?m-GXtZ8EmMER2j7-BFAs-Cj?{;9iU4z?^o8$wKJ; zD-BZax!1rgTT27GEROY)fv@&c1^Hx1c7&T7%eDY&@dVKISpg5;d zMWqZMnT`PiEP@ogk+#SggiABs)K}=FA(MpV_r(CWJ9TL|h$ljb)KyFDTxIS8Z4#MH z$!!TEcCXC|AXq)DL3dP$LfDc#zG4;8FZ~M2hO`&NwIyn3_^_Q6lDzN5P)_>6R7|JK z5v?bQSB1|brRL^>xU5Y}{ephJ>%$K})bBXH`SYLk-A{cN^c)%brl-Cs+K$7AiY$Dq zRKG*{(>LE}q<_Bco$tP*KTpYGh<=)eyC?Em_NdUa@oC|!elj)9Mjl(uyP@RH*&^}Y z_!GfB&so*EIU#65LZny0n9NEvrk*3B^*bYP50DxNhRiJtLB`Rnx*5l<5*ro0R5A@+ z>6SP%(!l9?m6x=*bFz8FYihVN-zcR9Q~eZv_|FwrZ^(KU@&xm=epcWDk%nP7K= zky%z_wl&sR05Rj{s0n1B#v`dMVf|X^BG)czf>w?4nBL|t zbBV2?@ah3vtt3p&-St+AfQS&(kkaW**PnxY_nq&4^w0mIf2WP_a&RR4kz>J4-}j^+ zOAMSP`l&Ddz`6c{jvxK#N3TA9wPGb@4htpKP;DPn7mn4*Q?e%##{}BlW?0XoTwfs* z-zr6K}?b+Av5$)8-B`d?xYm;`5q2 zDDJ_6+i|n)ZL@uw#VV!Ud|XRI5H>g4d{o;#gm+^s!td80&K>3?8KHb9$EJ&CFd{Jw ze@D3ylGE5)2|a^}-n)^!@h5oS_GPv|6;==EX5Q=_$Ug0GHcUZ&cVM#&C zY*0wty?gGS$*{+>xXJ^rJzqf*>njx+Sr9=`c{@6Y-J3qtKvTLB*vTck0kV$bgNt8^ zSSF3E^H_+d(@dso((2Y+vviYGNE7RNS}mBIM67O}lf%5=8H5=y$+j_16xYN=pQFL@ zBBDDwUk1U%seHxiFJF1Sl1i41&&-A{OBIX6%gam|7oQvaEQdas z{PVx?Z%^yh&bKwlVfoI+3|)HKemPSGT34G@FdH90_m??q&T%td8%qDPtRTy5;F0hlJZJKW;>u$%@4H$2U@7edty;tZH4a}%2jt5iB z9?fLv^qkU6GZ32&F|Qikj83{yBjC1f+7o+!spkfulVP!HxJXiPmU*p<;4l8?|NQK;&vab;`OkmWRi{J_ia+TapMU!1 z&zS$rcTJB+2%7BrS#f+|_aAIYIXR?dm!Ye%3q5n)XR~w}QbOve3!;`kRg8h< zbss{nd%XkNV6sgo0N!m&WXJ_KppkKn7+JTxLJasw7ftq^)55>$$zX#et=WkxYamG! zqsH|{k_cxpbPr`QOxLu$HeKvD@lZ_^yaDT$;}GJ;C%Y3A7>^N2wvbWG24)P6o5Mr7 zIpPP?H+Gf~$DMY9tjf$)xM+;z+jkIvn^ja#;sxwm)F9y;tQhW~JV$*(035=7+I8|q zI)pI4v4gFGh$;Jk_Y2QSv7;II4$0AhxSS5MmJ*?Al!eXD(KB6)_UhWn1)DvguUJ>1 z-)6m(zrRbLCcgRR8%_pyoe50&{m2*pq5r*&f35yAefp49N6EGQHLvLhFS{Ax?)3vU8c1a;j3dAnZ>#aJ#X2WG4^vW--Go#C`WmP*SP*EFpZs+*bzu zy8rUa>W5l*`J+VUl`)c)b{jA-g9+;CXrZ&4F84}T_r<9IKNU7n6!Bao6GU?k;bV!UMr^i`Y$@f7!12J zyT*aJG(}e%3ipZdEKcz8iZ6EE3iQo@ebhk{3yPHwmW;`vt6SbBs+^q#fQHFk%8z=x znA!!VEh;>(s{~qufT8pf1Cld-4~)T5#oVNa?aQd~2-yi{0uGZ=Hk-ad0}%3(Tm`Fg zf!ztp7*aKV649R{zxeJC-u?Bjf2}`Y)u#s^3*YDj;q=h|c=FqiKm71ReG^0N$3Onb zfAZIS@dKiP<@(KE{-U$xgAYD<^~n=&06yF_tB*8IqJTT4Rz`2q=w_@ngGy`Ro_O4c z#D}kR7AGFvb0%b?lSK_htcgF8feq$zZe+z!;f40LzLB9I*9F@M(;bXl$ONj?E^yPg9^pUbIR2#XbT8fU$CgX0Nk8nBvr z{a8a#+cZ1Oc^IZ9K5@ET_1L`U2CJ1ek5aS5Dmo9v`Kb}2Hn|A(a^k{Qxt?gYXMqG- z41oLh=tLV`&z@qi47e$}!`K=1SpxY54UH!I*l~E;#kik4>y-G)W!7A5OP5cE>`_sS zw&Y;1+aj%5aV5EPZYW1&pzEw%nIhG^WYe_2LD8_>SluTckiUMp`dD55<=lApUHuEu zoEvuKD7@bu|xKl#bu{^mFTse|LsfBC=s4(1#E z{)Kbn2S50MeqJ=+(V+BnHkQvtR?4;BPsJEB(!I-~k#<$P(yCpj9>e3dH1~TFz$2-s zUEhGYk8wzw0J|H0@{Qj!Gg8YeZ!&BWo?oMd`SvElHb&dA5o5zzH8^Y6Fr9U&YvxEx z+|)W%j6HgNL<-59G^m^!_>@uVtEV-9S0CUC@S&NV#%r= z5MTZM(#v&2IQ4~uI4>QAq#$ndH6hzuSL7$xrqCcs$uN&Q$yOMvn`UwcRL(l|O6~hT z;KPh2dY4_JOqJ4tDw{w#dKg5b=B=aA;vgOh`y8^qIT`J%5Z_=+@!_Q=tu29I({;a4 z6L+aN9+olPw1%+2`hAN2_*EYy^c{`=`mg`eq4AsF{Q74<``J71eDBGVC#uuyPfWhn z=uchWdh2bS9{>8U|EB*dmgD39{+Iq)gzvrcz55UJ{SD6C6-EN7%>&BQxt5cMk*C)p z0lCmATEPrs^tyZKWwA@jx-u*cty4yD6Eyc@u@y(*7gXb%(}WoOCNT4ghm>f|Q=@p2 ztBhowmK>Uu?j)}vk%rH1+Z5NR;l6X%8)*h>Fbk3g6sIz|h(kAuQImM~Rd%fm4)<*& zd15d=ib)^@X+}7(NimnZghbN$T$g>EP+z;eIz8H&LIG##coa;14slOH^JBxX&DLSl zeH1FW8#fagXsaeVI;>^ zPqm7WiKYQdkSVYVFrb&13JHMK=(0}ws5ncxQM}YIzoK*F{SQ9SUtq3pWqkVSr@#2c zFW!Ck`#Le~(CE?>6{@qMNpkCbj93% z`N0FkO`+7%a#FDYU?v5LG^S789p8WDL83M98hQ%_JweU}N25art6G;O6J0IQ<_EgE z=Sdi0G>^kgYhhAu5A^XX&nZD8jGVD}qvtp$;3p+4}VL~u=L`rXRC zci(;Yqkq=VV*HDK?DO&C$Fk@!;qk)>&RwZ7gj$~}1bX=3f&RCYk3RZHKP{%e%v_&0 z`W_bLaK${(^H;210qubHJp%l0@D6SfBuz45o%DhH59qIO^&96s?hv@AY0PwPLNd85 zf#kaySSF)EyrC198XSvF%4k_bLW#m(gn34p1P?kyhi!BScLbUf zre~W;F%MCtD#NU0b4CA!MfLb|D?2|;#dHK8g@xXJU9{?ZU<){l^6a_6Az`k|%FQ}? zO=dYKv(wJ!s?C9#i-MaOuN+M;PAWw#GyiVOah8zy?w4zM;8UOL@a*Q?@Tav3n^JEi z;JcA`Gw}-CIXAi|x;okErshM98*hP|! zqEdu+m(!%>4K8Id6VJ|3sf)xe* z=x0#h5W!Go_a8i@D`n0@jgAbD3>|C2?kgZZn5JXHY6Coy?v0fvsB4&Ah!`Nr9!Z3} znkHNeu*4A`?wDiYIx5B@Zntp(@MIwDQ7HbW(H3(siQY@%jx z(gzGAJ`@ds?_Pu^35^hKM5QD4PP>y6@1S#+p*w2?vd->k@sRtrwQbeM(ui3O-KW+C zFL$YR3rLO&Tw@w0J);D9AXU=n3kgJT!p)eT};Wyx{{1$`dp*HMys{=WHqQ5qMYM~q0Cdx{fDnS zpnJ*7m~@IxxU7C(RZX?a(3Grt+EryMD~hK$wWf&dr+p5dQW7csYZgLbNp!uEsp%Pd zb`jy_wdPO`*3_`iAmc`w5~@-fCnS%sy;+|Pw}J+Qj+~AoW*WwPIcLO*yZZ)sHiit7 zl#PH{z@C&GtnMt9COI)d37d^AM;i5;>M#=P9dOA%gz~9cedoh(y9Y3!Yb5e7 zP2Sl82i0dh3isI$Gj|1@^vc`aTTcWT2NGxk;$W;kQVkfteH=LM!I!`V%I{$3H<;z| zo&^>zSHh)+ofDc@TAr-9Lz(ed{S1uo(rfD6CTDxn?oz@WLA-sQI+5#O@8p3cz5=BqiW8apUYw~+%?-)jBW;*&pK+DTeUd{wo&ha3|(fO2!7T^05&b~(>CR$;Pp12Ld#sV z=a9?($Xy}vH3=?-xlxV@N=N=3c2Fpu_E|A!v2Z*Yh|!fz6sa#hCmfpUQbegAJ{n4| zAN$jrl+{)<@mYCN7-y#em7$c$IYFTzuSO@mM>AiQD+@{%%`ZpNU)M0($4{XC}eTJy2=9GxUDJs-WzkXPM=?gpFwL ztxFBwWjHT6!?>mm6`_r5&}`c=mIkrH&mq)ct#V2XdVDKsxX?xm&RjHYsypDxU`)@ICm!tBSDu$^+34b(%X_CP&@K498?en4+aC7``x>& z7osGbyvK7OQ?h)mXo)LwQm%i48Qf`9Vc1Ki{ya@QxCoJ?HBPuE{YL_c&;tI1>FIl3;A*!QduLca5bPIlj5Wf^NPrM?KWBpvR?bs#*B~Oe~?J0&t>~yp%JT zau81r5SK4$vRrr?d=+N5VifF!VPse%?V6;ZNYSJkoYts;&f!}&XcB!4j#zc|o?C@C zOtU+YcA&+gEKJ(6q1n^k*lZde^sJvoTc3U;q`N=c*r-GqPLMEZfiSO6M5UE7%aALL z2=I#_rBwzvGG>o|;IXsNqm4(K{ z&9_Nquou7UJ2cHjgr!PBUEB#9eh_CiBd21)jCJykvMO8?XLBAeN$C7yaV}|wCjc-* zJe!3WFFC10b3iazGl4gaFn4oaU67=q)ooX5Jl=+`deO`bz&gV zg*wj!q~#N_{@TVf!ZkM!P-oDZCJM7(K90VIT}7KAF&1@(9!Xgq61efreT2CHRtn<4 zM+Z?6QcE_qk*OO0X+zzZ6FVe4Ha8)a3VI0CX~tvW;qZVj;$dLdJbX--BaN6=w)du` zzOmKxcr4uWs2rCa58jfutlkGzFtbdgFZUQi~O5unv#1{rT zsg8hazhGdzQFXyKMu4IiF}V;Hb1Xv$DcxY)7XhKE8v(}3~U% z>nrsvU8l(uFw97C(>SMLEb*}4b8f`T38C)SzO##$C z4*7EQvysp(5J3IOCBwKNP(ym^&}}q#q^TR9QwMAaWq7^4^a+0q$hh*EoqS}lPX(fe zNo${@!6mFUgkOb}U96lykQ$fK1c_b@6g$vD>Y#f1`hbPEA$xE%-r;&xJrcyi=R zwqq=W3(lnRhj?c06iu5)De7@JR=~*x2Q}L8_@Tb)6oKQkK=8RxIUYZtBO(DxS0b0v z6vt^nohlsZbXiyy-KJ?AryV7?sTG$R5>@LKCWk#P57cyE&D}eXX84AFHiLd1JuSSv zZPiS$qjX2HVUJlZtwnx4p1XY$xc6AU?W+Hg&OKX2S3u57N`E+EnzVLaSa@iW;0OWr zzv0|XN|4_byK#$vEn#rU8vo%_lv} z;hqd!gHqTqjf-kpMJYAim)X8vQg?}U+pth>c4wP3n@@v^Siu@V;$$PiyV06>XKyk) zKc|rg_U%ej7;sufO#B6Ccf|M)W}y<2eTc^uYpg`@eI9{Q(#DYxlli4lE;YSA^wp}t2*_RY9mJ<;8_%5% zPl@X!8G$&a9YfGw!UB&kJksR{hWt{Kh30g=H^Jt>rD`d7vQM~KA#%87-6)ISI37aGI=(HwVDT(a9EmLzNj}!B=H)`tJB8WDPSx9F#0(b}O>e40A0*nEsE}#w`qHAD0T)9(TO;Bg`WpW!~ zM8&6pn{I~)BarEN-5oqcex-+wlo|u4HGl8uO((&$fRCr3i50A1-!5i*O2`*xh6b~U z2RsOsdE_|xay4ZN4au5_3c5tc03J$m*-6D2VDMpAPhppgIEuaqW6{*cH+Nau8X^Zt zOWT2b*s-1#RL#LNNfN`MO3X~h2@G5Kxo*-pxULhRAAnB5XLA=tk-3g^Ur1H2`7g2>}kWg$J6M5gIb3#qCKg>uQJ~fapt|P@-j; zfIEZn#LTh^+%`U@_Jn)p5nmgsy3tN3TA5q`n!J zd5@L>J2KIUVch1FBz{%@VicXEG~b8ZGW>o+5C9kpKm%cPflWC2? zJz0mjIjp(K{3S)9s@Zj&WpQz4Az$iRZd3abXRnaDao_Zo!8AF?#o{Ncd}*UhvwUgt zg3Vf(ae2!+Y)$8|N#&QO%uu(_NN?00=?9f8cd-+?5rVA^BVTu1pDx{qvUKVADtwIu zJF8KevlBG(F7a0~BO`7Tnk~7?qTAGmsBSWiZX4A?Xuv*H<+&_FzIge>3szo>NUCIN zGA3?3%2JmddDHrk7lKw^8PjH0)CUJ%|H??g86*bZwoQ3CHv$HdQJ#xF>d4@AIi6Sd zkSgOQ>O4?Sl>MPQtIM|CCer3Q=Z2gZy?~6=5~Y-{KBC)iJ?CqSY+eAiPnrgt{Fd&d z%X%;aO)!A-D9$L4e4N&nH*8WJsHGXn1^eBGN_LH$-*Rp={^4wXzuY<*w%<78(Ajsl^@W?re5uM3 z3FDJ@<79F|A;3 zH(1YXF|z()({gMQK$_(>%7i(KI8jN~S<={v-B!wsx(;B7i7P1lZgXc6;tMobgBw4P zxi9NsG*P*nYf~HSMC79HQ@(YXeW?wc{0U67ucOfw6B8t9*5t{JVKt48jq(Yu4X=hx zzuK-L*Ce

e-x&4ME|Z99vj2pPEjYmkfnm-l3^^zJf3xBGRFcLey{V*iKvLroBa* zsiw=qNC-V%u9Nz_gSI)B;xVgZaMKgVWV)_O6tc?)Jcu^cvIgG4c*ikwxkt02qX&bnol?ru zt?ht~CXanUGhjs5v~57PfbvcQ9~dT#W{BT4#emNvv)SDYp|=wZX*3DiqeRU5#E&qX zMiQ2QamwcwZuxK=PG)+^Y})fjvsa(cpFDY0UI4nv=uqEel$S1J8Z1pUuUcb()MW-w ztCRE-=A2|p_9oT|FgyrzFVd_rAt*j>RopnfYEs;z9wyw{IbRx?AU-#^S%xJ@o)>6} z$P{j*JD!|b!vy>5bO!1i3 zXOs#Pc(QrLui*tec{F=9#8fhSU_L0K-+Lr6N_B4B!*~&vp&UtZ5=>IbogBhui4M>DIzSrT zYz&c_1v=1OfrHNW)7;zIaYl9RBcgGvgGs$jSd&RXiEa=$?NsXGYl~+Wih#k6ON`At z1g51jLnnZm7}NY7G0=i-rdifY9IK*t!obGbV)Eb`e}Xi+>3%(&3;SjRb7ah8^TLDi zGVwUo8kI*>)qc`LR%uM{F5WJKdF)3+6gpdM(wv;X!}q` z`Y6sh&;65|9j$n+Y1#)qKjQu>Ka!t9BevCrHlwhK|)S~IYdp(LGVUv=6O z@Xgh-6;h+7*D>BS>}|VHTk`P*a?8TbWOFX_5KX2tpGQC$clyi&@%D4vw?Rut&8IJ8 ziz=b8Y-imDJU{?$c61h1n5%E1E^;SlcVb8!A#ekOu?y3(fC%P5>lXOVxv}9|VBXB9 zjy+Z=mS%^3rsf2>f;^nX7;9nh&}yDdF|u#aDP^&WF>GSw$c8WkH+Jv!$HzUh#;*#giWE}5)<=y)TFE6X*= z@v@&5VuiTL-VEX>c$f<@eNpS0um){$5lW;pjIyDQ44k$E9po`>!lFwqa8FDH8?BX% zZDaB~50SZ|5-WG#L< z!I#dY02>K?$F|%dbl9w7wCoj5npH&V7C}aOzmfn-YXDACJ<+-Gw63Q^NNDXi?SC3! z@Yo0T>0@=LfnifT)!(0i^ttJB2JXbNe5v2q)yRwjkDR+fP`ZbF4= z(5sL8m^2?@7dpdwDP_HhC%fC+JLNVfBO2Uz-^g+_+d|p^xH6^(xwA%&>VwayLBx0M z7`Rd1K81IYyf^V2k=NIMAb^ z!QiK7hjT5XaJ=%+Bs;k_`>g8ljY8s_ z@MQA(=MlIFiwbqcz?vux!g!L;8AZkJAkxNy+6X#Ceay`m-qBR!OwDU4)NE3}T7sn% zU1g0+w%ey9%sx!g(}_$UU9rPIeMb&(jKZ8`mXOVoCwL)FesY?s=}6fnSUt|fO4;el z$niFe?4efDyccW$)Xzo`fMj(2nz{;(-R8KwI1ClkcB&qWL}#7QR_cqdH6cCiwo(PW zrhlXsSEEHXJWpSHLSG9Ycf&JTY@@ij9fwSAF)K_hXx++q6JNH$BOu;Eq2t))R@ zCLUQW13^I4c^r6KF&9l=r<(RrIsK!HG5Hi!q zm4=P3|E8aFBVVv^($!vjl}<9Jm9cxas{;Q#<4Hvvb!Z;62ez@8G*Vl=WjIhQZPhgy zjP{2%AMf!V?C?agsAgHH02y4i1!ZYXlXxFV&WH~dDzi?VsUBcR;~CJoqzWQ0#tdyD zedCKU2R8jmW0fq7+#wvnf@S6TgfwlQ!2zW#F;1>05*^)1epa`V#dY)yk>UlsB$~CG z=hiPhB%(!h3$(iCpDLWhc}|KHsGwmX2}KrcxMc`Z_4?B4eU>SiwTCD*=TPtjE*)~zJ#-66YesK6F|ZT#6Dvw@^zbK7>{F0zyfUy z(&OZob?I7wd5ve3PY5fZx<^l^kcUZk_6vn9^@44B?a8azQ3&0p5CSWC&C2hJjIEmT z3PViDCt0$ZryRq$285Vp-CF^@n&?}RaRv!_(q8?wCi^i}l@5|!VW=!I%?FUPStZ!S&RRM*?UcUdPH zk1`K_^NfrAeHa;UvwgJ`wfiz*Ud)zo^xJ1HirQrnS6-B>rO5?L6PZ_nu*5DA2{7wz ziJIfrCcVkSbY3etOxc_2)5xudtFhq2%9UfRGD;Kw4G4(AL$F(W!Q=NaV)}&m+Hhk= zqA_Qi0GYBA8TlCNBDp8JBY^it&F|72Bl|M(Zl&=C!^|7!hnl0q>(Vz5moYZZsIODDNyeJ(O1OUF(bY9|939m~!3v4Cj~M(!PW5_(zMiqLzJ<-H^c z!g^uUbihZLm(U8L1653k%I>ck!qddn0Fs)wtAZyhvJjx>37MUWiR;^=sifa5hCHDn zVO)T#?CkaCLhYck3Kz(@1`!g?hL1hz{`%yy(}Z3%X%(Uq`nPRg4Siw0IXC%(~W10WVRaL3L+AXSCMg%!CL~i8FRFSI~F$L8^lndBUp^3Sup2vx^->Z~dtJZkEBNGp`r7Ms zp?x<}c!wmJ#;?Ejv_0^hYG4b|`gg8he_hyG&=s-bB0D)^KogcdH%J&BqTF!P37oLr z9U31xH<*8CTfD&8cMh{3raE-*%7uG!M9@KHPT?Jit!=l>xS9&L>3}+ULa{OR66>B$ z!664u^Ua|?;(2ifG`qqN$pl0@Jd1@5xD}8>MQY1*=_V(c(?F+Vn(_LExe8eYqrl=L zC_7Sy<%m$cLK8WmT5t2SnZZNG^ z?6Ra%#=Q^l1ndAmR?}g}a+oK*A1xSvD0I9`Ro0^_%nxzO&ri2%)0{Z4+&SYE)&vVZP={B(!yWy-r&JAu5 zh`*nnctOd=B6(yj3>7}gy88m?)ma6&QeyPh4-n|m;uKXEvhUo4VizeLM zYDb~>_{%6jONzvLbtHP64cMu=9#TH_&Zcamr zJvW-#aOHyW2w6GCfjMC->gWWoy;mZYk!zMc>%&sgxa6gCA z8*SVZec9g!!l!_~ATjz?fgH%i=T8f=@Sy=mT@6xHJiZzOtf<}-*OTT#5Ma-iVRrfw zNhCU->4Uwv1WH@8rEv%uI34)A z?bH!AS1<+SzGW>Dbb4*IzYcSr8wMMztpF&lnPbM16=4K{>@|U3AA?;cP14T0Y_U~^n2IU}d%>dDQ_mT_$gGnIVcqjlzH9d(x+ z_E)oF4AT|S&?=#KmK_#!E;wleHkz>f!aNYeZaL!a6&F#{&3l3(Bu29axTtR*03uTN z7C|h#FNsz`^OhwE5>^ikG({|Sq6e-NJx*%Tq9%U=nGPKzJ7rkZxbrYhvUxZ}7c)=_ zar_u=5pgx%$z281S0m#-WD%x8>uj1P1*c26PwwXuD>nyc>hVTL=uxDD-!ABgV` zq)vzRauB#}ybv+BRBi@14Vl(8j1gc@b!a^8glBz*#{B+VdBc~iXrm2@l{mp#tixz> zGP}Y9v(--JZVT0jFDe z9x-2^`Q)x)kW03rB~+IKBNq(lnxb0+?uL?Iea^cq{=XNP0CjkjU+hfy$P7PuHzw zC&nR?get((?5bNfGT`@`PMF;>W~~z}_iW6vU5G0cOHw#(0lIng#?#l-YqYJN+!E64 zGWmhQ*6=f^pyuM1M)rb3gZbFJ#V5b)Z3bDjH}aTuN32g_z$RKkP>$g`zTAziFK4*y z10qfhw=YTwCnQ4%*0T+&!E=W#HaxtNZ}2YgIw|c@|L+(1!fzmtnln~k`mt-fkl&6eRDHnWC$~wW3P(XlVd1FpCNY( z7#YsZqif~W!CDb7I!SO3Z2hWcY;csWI&y6#gE4ZE@-te zX@HmbJc|Y63d0n=4}M*|cYY24ry&F_Lp^olX9-<)oJx@R-3p22x>>tW7qRlD0i(m* z@+L*K$4WM98c|6=9mMh!hiFWgX(k{8wYuERa8V(8v=r^uj#appi7!S3RD`vjtL90iJ0e>Qs=5S?kwHQhG=ho; zG`^H`VG6c>qs*R#@vw7oEXxscXXI1>PfHCEKXT*cNmbXp4l9g7(3g9_in(oQH5RtQ z52is8K;Qv|Wt7QAqm3(I*0DGA-;edkXT<2xFgcGdo{_C z4qzBJ%!X^o1iJwC@wN-mT^jFHHmer=DVl^Bp08F;i-h5p^Vkc{0 zhUlVqVbG&>=GKW{49k~7t<*Np8(E)|k&iN?+X^(EJMnJfa0Td(K)BqgDcS*f1cvSd z?S|P976Q5L-~yc!yW9x(a8y7!;3Sg!ig6``WyLh5Nda^0;0d8+=mZ}7DM6df>eQf2 z5yYiHKT4?cPy>Ukbve)+>)M5C&T%lkD70onBEEA#V?DexI^`7At-#DuDR^3f3KZ>< zG$CsoEH`_FIThP?i3^tNevPtsgS?*_HOsEMpZk>MjFYP%5!}9C^7m2d7HNGuweDt6 zmi^KwmT69&3vp_9EW|mPo?nQ8wPy`Zgi~AD6*2zHB3RR;%5TABvM5teCMU=%)>jBm z&JAr1*R0A@`;>fj6MH5RSDt#BXQN-T*Y_T#tZd#OMFkDeyD4CtyLGf_5u=S*=%^+}N zGI7v@SsE6B+Q9CJ`rLgRz6+sB2m&9cnR zT@W&gZ1!;Fh-`DoM?;Jm&q$_(<2KDcU?J14JIIkJ&wj~XN?^bfu33|T^{_X1(-Q%5 z7f2#B7RmB(>SUGzpo@jK$RjJasIe%Op6Z)#tw*uVtB4326)Wc=N<(W@ypt=)i=QsbiIZBRNt~{nUAtMD%439<)mQu!mEsa6?fS6^W7?Dl)U{=mXnGTeri}sDU z9h8SnW^3jqtz{I3C~VC-*?Lgd>IO|GqY-X_Rn!T&V-YJ zg-MSl&6cU24ZnDhg(}eWq47Fkusgowb84eKBL5Cb#q-enGY$`&AQlBHjR96aX<%vt z7<1?~o)%iJ7;EpSGp8N`yEr{=41}ZNt+(*oMe%Oj{AH#h>Lr=Lm zDePo2a+1-aqt8M*JQj^gpa7b`0z;xgZhe{sd+aVl(=5yafI&f*?qtghi3`U~8=8hV zkhq&Ta5H_yVPyAb>P}Kd{8=>YM8M$u|G38!H z7a%LSylqHglpkjcNkLm_5yG*}XtS1LVLjFTlp~qNqb>8q6Gz2?HLGug&muZfA<%#x zO&-avr!_A571-E}4v&z8hhNuS4HaP;R1bOA31a(XH*o;E%9C|+tTC~U!!u<|{AS&G z`2rx_TRelEGH_kC>2liZ92--Y-{d@mUN4rn-qI1HPbd9=5-J7D(k2?b?)tF7=Z$HW zyx`{Rx{xCaz!p#^a^r`uhQsO1<4u?gDJ$b^rDML_ljUOQP+}a7-=|;Hnb1n(-z!C) z6{Q$AcCOzA`zKA_xTaVN*G(Ef3<74EG2Lk@I)On+=E*5fXIe!s?lDl(Me@M6(|{aXX(vF$}8CnU=q9C-ok2n#tu& zW#*xAE~qRuB{!Ryoo{4m@4e0|1A- z7YSmTx0^T`he;{kdPB$1?lk-msln0~2o!S^439#S4GL2-Pk81`OM?rlYq|+1uTyJ~ z#p|Lb{!j?k)gD{eQ{m)Vp9c_X5jCb4DdVK_kSW^e0F9n=Xv<6TtXMH#f)w3qNRqyK zW}TEGKGL}{C7;@iJh8LN*Z~9TV8aM1WtRpmtaBoA_4B9li!^K2JT_|}v*yj+q-40; zXYM>sm7t{uFpsd{L!z?W5nM$_#zd{I#v!9oh^DOyXH!ByT=3U=2iq#RCF$Hp!#XHv zc7n*_ht-`t>U}nzqifKjapPW)_0+*thtGwhXQr-4}m6K7@J z_;p!{CNvyYyzRs@Hqi{?#9@t@ioG#rcdobIe7i~I)9`J|PF;+mrx_BQ?2{Bgmmz!v zP1|%(xgTgPd)pXq6q!e=0?W+q8}>4m*62_bJU9W`DC;x_`tgve#FNQRb9OXx5D9uC zqS{l8Q^a{ZS{-i#G7s5hgbBX1Gb#i^4+G1XhbL0Ct~?ahMLp=dq+gsJGvG+wIM_4R}j$z7GT4<;svF0ms|iX~}GTG2ni~9oM##=0>z9 z(etJ>(M6D!s>AAfavBLhRM2>du)5?(=_pDWvZnS+F5v`KIgkpLiB(}Z4AP>7)CYA(V( z%tvMqi_@Yfp%?`7FWw#KF7agq!Te^MxHfe%-HFvLV{8UOnPPkO%_&;E=c|7?Psr(W6uc1{^-E= zc~mM?z7nSz_v3P&7tab_5H1D2q#BWkeNwJKqX~%WPpXbb0<57)mJ6M6flMC9Bz+Bj z#BVU`Ral>7Y@ZVEmoIfVMc-oA1wy_22SxO|AzqKa`)+Jk_PlMvz*w4Rr6cj_yWw2P z{(@&ZJ(9CG?elj-z>J;{LV9>@xA}DtC(3m?__C&U7x9Ty@h%Cd8$AD6oi@4ad%hdQ zT7kF6rJmS(L)t3`JI!-`d_p)RWxkHd&_95YRR$l&1?#z&NYhV$E&ttjV=|Ds@5WPe zlSKU>udj>r{J($b`k&{!@yN=~BZL1HjY79l_a)`&bF2gzMsd@h*oIe@7sD(bqU=sz zLIK+7<=B%D4!Yq&zgwC-Bz0w0n(ixF8S-I#k~XKQG_OzriRXeLf~U|=XRs6h6jVB? z+6725AfZRsC!$zDkX%6ALYez4#9_iq4InOyqvc+hs2d=QCB3(aBivAOO`drD3&aN^ z>So|i41VPE14T9L#(u24?%x2<-y$H)$jze`ULoja)-xVVdA~d{Kd5;7jFr@wv-h7d zk4un<4oBu=?8=M|Igp|}i1zy~XpfmJVCfH@(8r?txC}C^v*5f)s$jXt=v^o{CxIl! z-b3zL7XC8e)R3w#hlzXMcxYgtd1TmE~>aYz<)b3 z`)bwBL(#eTBkDEEQ@&{+ifARzm})|Y8kM{%GiauiyaN6Wg|smV{BLxO)G!Z@IGJT83kr)*9f8x2I4j@(!OAJ;#0 zjYWoozQlX#7?XXa&|G|Zk}zRUDrn&cXNc~anf{b{jdhGb7++D?vSyYvvX4hG(B;Y_ zA-ZeX!XAW)Rp^sK>RrHfaY~i3w8DF!!Gmz8K_W9Bj!bJ2u2FhiXEVVPu6eM>qJE+0 zVq~=;JtR9&uz)@SACnN0w(Ebs;`9m(w;7P8Z(-u?8WkS1It8iPgqm@FP)F^L<-%Qt zm6pwlk$m)xGN|RmI<|PcCI-DbA6Z@HAXznN!td0CS?CG zPDs(oneQu&zdj4tozU}txUe+Cu`K*?E!zaLKKP{egn!VSK$0+2RrVrF8K~2PXNdIV zWwEkE$>DUD^1@x4-#UeJIPMMf8~oLVUwU4A2FJG}5q3C0p7f_M$z{WOR!Ja-)_h24 ztKWo?>FF@6=K_yzEJd5eB7!^YebyDAIL1C34!=a9x*AI3q@EuTNnHGwp;}yF$Yn>F z2XDsBtQC4zh=7V=zn-EDN*=re7;}PTb_BwV;(^VF!)4=&sS{#+CTNOn7v24r zwK4c_uy^B6U~`#6uso#AZ-qrEG!H%p~zilY)mUH6D@AvUrG67l&aK8aW+lDY;wBLi##4! zB4aZNn!_kKxrB`5!=8{lO`9Q zt|(uVGfS>|{IFMuLwDmACjO2uz8mm%Sge-WOeS)CsLRsh;FCJqU`~*QpalZ1K1`Ne zcD6+P`42ED+(bcoRxvYdHe1%dSH}4?aZWouZ!`q;JeFbKZ)4sRFw|v)$vDZ3i@BU< zxe5(S_;NmRVYB^O5Q+%|Vf;JjHE-sD&hrxDByueMJvAZx9A0+4#~1ELkea|{`j0m^ z$AzDL9m0B=AMZ3^K~SX3&vH?ZTq74i%%`YDrv%H{d48#Qh(F8Hx?0SAZp1tz@s_da z#Z^$@UZE0#vE^hdyeI6dufFyL!lT9oeN5(FhKs(004usGFywO4T0F1UtDABfZHwhUkwJR25Y13%9rdIi0-0wm?alO9t+OL07cdN>3j3w~w_ zjY3>QPjiXkb+W!9UD+1uzL3Oy-M91WfBfU?uX26;b*Gn0_>7bOb>kj|qWT9HBmAtH z|3ifTD1Y!GRt_%QXi_PeFi*LOL6(qZK3C*?EdpzGbSPdjigqs+xkYrolC@*X9nNHM zF~}rUblF5@)?KZvG?XQSinwH|_@xrnVG`_qr&Zu}5$eIZRErA2z;=k1C8FVuDnosX zGOnC^2rhD({5NCF$l^S)A8C0g@q1P_rA=?(a!c6)pcgNIw;9%qxY5_)S(CmW7IW6;v=rG!QG`{Z`^Hjan_LV&h?Z|VC*3H<8mIM<8xd|7FE(G@~}bUx9NgS5aWnNmM~}@pv^+s z)`TxN93O@uMK^)qCnyYBnnRB#mu>EDK)U-a1l*A@LM!1MWjqIpi+(VlqozHGf9k8T z7i>tAc$e_)>#DEA`@2D+{)N{+a+W)){M`_vtX95Hn?FG`53O7`n8&m*C3iWpH&v?Z zeds778aTrQ2gb2tYJx>X%{=srQ>qSw$h zj?Z?I=t&%qjq1_T5DrE`M+mh>Ir^juXZ`2bU;k6vumt@RbbO(8(kMd0jrNpSA4u8= zRk}%6k?CsY(Q`ji0DY|)&^RGco;@8S9-HXQOAGnOgP9l7<0Al3hR~R90KbtW@P_E| zX~tD@=u#>I;<6INm>!*Sga)M^;*gcAGwX!FO^L_7PXTcY?2fJ9!fPb$@q`v=J&&%+ zP>y6r;|9$`aySWXxjPKYU`Dz0$mY_-D*&$k?4f%@i*;_tquY42RIMH!mw;6l1z)O$7@=d!Rj`v|Vcoi- z^pXBx;KlpF=cIV_l~o6B42Txxfj7zuuO;c;{Fql}x5VMaFpPlrg%Wu%y(z10Ulc zJMrnV2}Z&qL~qGSe|LklG9=k5Na}8uq~!I0)#IsaXctVkNPS@UsWFg_nfW&Hk}XQ@ z_~$>r(Z7QiY?Di?F3*h{*iUZ(*@C^v@ikoQZ@%X8u(q@lV23y=a1Y~}FVsdP*#(}~ zG0Nb9u2A*ird27~a#cJVl%u65AdMJ!8oY?a22`6NE~KFe!V;X>5@=nvz6h6tXJZ42 z4x1y&BnGh#y(uqJY6nf6Jm5O0FRW^a&ljCzKG6t;kfaS~aY;+T=ah~2ABc{C~zuM1qjJa5ek z`bOjHZ-QM;8FxLR^?mtD*U|KQBL-pg?Jc|WzOx0ZvuIuUEuc3XtM;MH3K5^ zf5W%UIreA*6@Z<=cgbGY;&uS)@Y=Uo!pw>^y4ZX!8t(y|fj5R`keusKWeuYEx)ig6 zZjH{D47UY!h;3DnhmNWmiSdnTYsypZ8*9({+gcJ~(QI%NJWi{oDl$-F1KLYFXei&6 zx{OXh&^SDkp77{NHij!89I;NpE2~SaHZaX*lEywGnOP3vE%+;FE&)kBs!Q#5b&!Q- zbVae1HTZ6VZ@3irX-A+Wg)VbMUMnH`lX+;Oo`u(yG;zSy`wQVebC_CarBNj z=0f2FL_QPQi)>%98SwzrEcdz=l@zj96N$)BW|9k=ve`>6eE?d>wRMFhm`zrVPdsto zeDhUK|D%vSrWZ}nN0PYN>9-H$vxQgS&h>>)??dQa`;fpJVZe^zXHtP5)fZm`*!@hhs%rtwvxjP?OL7RqWcuIqQ{pXup`TL+g>>gL-o4hFq zHE>|9*2DH4zyEks+5Uk|3(Pw0c|(as0(+?O#do7Q{62%^zt%INFC~KEdO_OYzXV&mklDm8*ab8{95M9r-T5COyPv7)P!f@Lm)2$@dk49;jp)-gtLiN zOHYU}knQY9l>NL~@h4!6iz3)`Jj2ve)+7$AV_-wc6IK7koL4sI$Ii zba|!zQsMNBj}t#7ozRd^<3)Yobx|#I*V4z8Vg5iwODnxd+J8+KwtsQaObaJ>Ks-EN z3JU`7t06Mq&{S@&5;ij_b}2fG(Oj+(`$N#hi4WS#oWkb%+69n+D#qmuHk`Ihu=N^s z5j%aNC_uCJw~+Abu5q1@P{@4D5TX{V0qX6jBA#$trV?zaTfjx&$aR41w9R#itX{-3 z^jyw8$MY~cY>|oU{Yk$l9MQ461Glj6#r(AQ!q!6XDxZ<_j6^{hXpgtzo$D3h=*4&O zdOYweeigk5okJN-#2yU2r&Mfvi}|~pqe*x=!3JxD+S4qTqK*$K3qOcdVB%&$4?S?A6}7Y#`Vp=^v@?+p9(F!_Cr>GK77`l)5#Ue zs4_-tW&WF^E*6KtiUJ3#5S;J#94O($T-Xq7KpSVWr&s@GsW^#3j1MOis_CA($ ziwq0nwdR^qK6fz)lv!l?{f~H6kZ?`tJ{5IPSI}Sxf&J0tH6^o)9w>8*D~}MV z2&WJv^S|Y@2lLne($)4wfHjJzg3#`g(mME}@YZCoowp&aMn)Gz zBNNRtT}7AMfiv0W@;PvV^y>hSJTlK8rrEAaLyo%g(Ag1w{JN7lGzT6~oj}2~?2sID^R)I^FbFI(%i1`$d zeQ8ZY11#Sjrv7&XZjh*bvCIB;CqhKjj?)~$n9ISGHOnh`4}x#?v6jK%}e}ULqkp?BVvl0w!uiiE51du-21Sm%~B7YYfjZ zM@P|N6x^W_e)V++Mdo_&2aMoMJ2`vG$4d{ghlgp)-6uovxKnHcQ&<}`N^g%ma??(M z=optX$bm0qHvtt8;c=d@Cl(Ktdi>kF@+!_&=QtLpsQs!EfjQQTtjHc>X7MGf@73c< zD=_HPRKgb9IEZ^S7mi{~!fj=I0Tnod-|0~nWwliq-;-)#d*&=VwQlMSCu7%IwWsso zWn-ex?L5URq-l5^NWNi^b(#?r8b!JDTjt8;HhvXh5eiB z8(n2or)bulh*$ndYAk)wbYqPElQlrQABjWm`3i21Dj;NZ_c}-(??yQBvkMN;{TVjN z`&eAqa?0L~b_&d73g$yvo5ufyAGkr}`QU9^!diN;9uIUeDkuZZ5reDKa}^HHu0i5L zZmNJNvqLn@$QZ|`rwv3ntd5wS-d{?iMttlw5|o@_gKjQkt8i0>_M(scm{NiRVqV|-)UAu%FuX+ zLU7=M_AaN4K)X_)77zQRir0mNfw^!--6&x%f$8772UP z1U*8W8G&i3z?b(u7x3F}x&Hm_7#&X@XC|`qkeIzzyUYw^ZCx@GXd&0P-*7=0^d|P2 z{v?Of%Ms1w4t#5h+aCLz1Lm+}(@YhmE?Xr}MlQSzFHBUW7U;QsSqcaX=gT1Ano_vh%oWH-$Z3kV~>OqVzLluCP*KRem7jJDz;Dloa+-t^hR~G^e986 z8=a3`WTUquB0_H-A1LUXP`K)IP=9WoElASKSqCXgL9{8v++CL^eW4f+d77`uj>k+S zA$^L_DzY1_koT`m6lt6MCm3-SGl@i-$&7I|Dc!L$tVzM-hzzUJcG|&$|H$`hGsUh|X&u{cli@@#@R8m=gj=+!<~GRXxNP4X!U<-g%pUsjNjPM{ zN^N$p9p(r^Vvyb{W1DJUw{kuWi3gYsYg1ka(K(mLAUka#YM%)=T8lu(2euG0cni)K2b|*7 zHOb~_5@~E8X{~@TW**qK>{EtZi4}|h29Nmkh*X*SH@aHNFrC}`j;hO#@GV)NL^^BL zn8p^}a-QL{g{B1Cl1Q48pJ;(^k;#rNgy}|de@LqZhx+OJXvl6JiAkJT)>WMN=Mqb% zOsth|K`ir2{6d1kWlZS^9TU`{Y7SNw?It^YvOB^=MuqAnaDFEU1k=C1Go`~#TU=6nSG!d^$G>Fi>bsZJ8s`$f z>q3_T9OG~oa49-jf0m3WMjwy1u*KDb0|-+8I|7@)J&lep3@Ix-#XzBgzLRd*O*$D} zW7SD8p3n`jy6zDr7WCb}zg@e8#_=3GnrB8wGxJ4LgB*Y8eZS-c3-`QVji=Q;*vUr< z?eKe(P4A>IbbOiAh~%E2D{;Q;vX!vQB2oWnq2E8h0%73&?QG9w)^n!UGq#t&wg-#; zJr9!8iw=9nNQ$^c7xKBZ(Q#RrfndtDC{@645E$7FDZXgO5mM2go?Y766iON%g1o5^ z`?SEqt0UwSh_{JtWp3}XMo>~ThZYOz^BCb`!Ogz=wwU)E4!{%wM-XHKYQOz1gEyxG zo0oo>c%gd!26=)$IAA5@yMOx<+X&1E)}n273Us*)m@P^-M`7?l;;zUpQg~Ft^IU{? zWGlQVGjFo5)C0DRRV>{{Ru8#tWsO)1CXQh~y|2$I)T40%1L1MAtlEBAxJ=LNl0%z8 zI~h*wJq6CF5l!}H5^*IqcT$Y+Nn(a@w<$1rC@vGbSbRRs5lIaAv5+L+{r%$4}qS;UB_J+3B{Uir9i zbqcS{{eIYG4@O_EIHj_wTm<6_Q}Uc8QC=EhdZ8)D8$)=8KfhkVsEfur#T`-lY8if- zi+FmcMZ(f)ExHL<8)JwYEt8FMCM>ZmSsMd{-z1yTJaI;~%T{X4SUmCLW`h9mDD94S zfn#g}J#2Y4@RdR#j4^Mah#Q)6xp8MKaH(3tE6Oo%xSBAV`}sP&BJY>(;ad+C6Y@9L z=9YGKRjAT@5z_*?`6UeAXrw(+a&&Zt_KkGj@;C!BXW#i_$y>fMiEWCDy(CJuL1%r{ zkeK+%;4_mSLQ?gx?Yp5*hqFlkL-J9Gco&6UH{p`U!rcRZj;eS=GglL^Z*7&N_0KRL z^44F?qC*;g3x%f{#9zsm(BDT;`V^*M=#d@t{f@Q1Au>lak&<&mP(H|9)>(_h{5u$^d3EG`d58-XU z?Ed^{B}BPXDlL2no>|ETI!AaE6Uzmgy4)u|uZj}_)ccWPafc$mMqW60G7d~A;N$Vk zQCNMg3_pXc$I;F{up^qJk8|-EV}FDRi)7Uzo7nMb<`IPM*!`VwGMfvLXQ&qF?9t{l zN9C+FJ^8=??)&d_eSa(@YfL1f^yAR_dtVAlI$OT`KFe0tD57(HB+;sf6g}_YAC6s| zr!h+q(eY*~wA_9V5oGhY{rtCLJ;7(qdkHXXH0Ky(uCM|0G|RU%GQ%B<@r8P(#n13* z3E_B3y(6=Tq_i|T@G(^t%gRpTqqrWjF>I3^>i)3rRT2i3GlQPy(9I*H<}K#IE+{A2 zfiL3a-}BH-rAOXVQZ{Z{sl*pIyfy^3FWX|`2C>WVhV?}=1$KxSCiW5&*BcVcol8Vy zBv^O9VvT#Tff^gF9>u@5(HPGUj_#m83ph%oI8wOg)2Er zEU)N93T&e(lsUucq5JsC+NnqnYlbY#_^h<*tyd(i06H^X6XHTh+oCrW#nY>&LxL;1 zmJ@cG5g#1Z$}16tKLM4SzZoLbW7^!)%eS zFpAcHRuT^1(~BJAnirn(bf*lTXaR-~HZ2qBQiITc+?;QVn&a{vM1Lf~%GOG&LKY7@ zXq}_d(Syil$2zYFn7DK^IC*eTB!Wp4L?Lbz`5oraO|1$rUDlV7L^%&Uyxb|T0dJ2C zACy4gT-Y-!?i`DIQv3}TQVr;;rYoC2+@6$YMqA_J#Zz399Tt1@@O?<@hQ8tfF(7#a z&E)6Y2GtT7P7zCWnS*v|-CXF}ND2%uZ?J_FjN76G9Q7<99j=>CwsSciv*92%i-*_R zXRE=-5}Y+FBFuM}IWlxV!Xyt{#Lx1itVJaKGx z05;(W(+&edgEI#{U)Y3U(p6ScQh+YgxFwFt zfy=2?)&7KubV--9aj=}`M`7UB^~3ky|3C_VGzIB z&GOw3DZ?h2eb(5qR(_@i+b%CH+91~C7@FfO=_>az&LYT+o^ZPn&&iPQ&`nh^W{EK} z%&fd(Arffky1u$gA$=~1X#U`ARPqsygj>nd}{5D+0%u~ z4V^0?Ulv) z{2(K(!?s-M4>d7J;!%L!OOmNIeoZg2-Nz!Xgu~zq8NSZ$cbt{zOMXvT4j!)%KkP~_ zFb*FyI$^`&FlZ`ujC(F6w4HOhxnx=Vo^0LSJDY-kO3i5*hU%1_N|Xduh+doETo2)qp9p-s7kV_BS*Q6vC;f-l3BTQa<;eO3pbnTRBhLC+4TXN+oDI8uYwz&uj%gny+IGr+r)u&jd|#wXVQM7iHi| zahz|VVI#&^g=3Yc+q8Vd*~)!U+K<~)_e-Gc(lx>PKHLb0-XzIWK6x@kTSQ?oPu)<* zGC}%2SirKMc$i<5KWKcf%NxBX1xJ(LQNAdg1dzUczC*&;>D$xoj@A6KzftyR+aiaR zhaSr23n98*%+i7kXnO6LJfH7jLcT7V5y0d)7^8jl^U#3bcr-BRLv*P*{=VB3=VX|S zNF1?+%)xocU37!8#3Txea3MS%#Gh3iN zVWUC5hIVuS{n=rWA!zgOCIQ(|*MT z?$_p7uyu3~9u)TCo=2(cdcc(wF5tL2(8)Cu6JIuT7LXl;jjyV}W95>oU@x)Me(TY_QrEYS~P5+>LO;8GE>5Z*#B%uCjc!vy#?1Hg?&dp%p?hR9?z1@SQfDDG z?rTsW%@HAHl&774T%e&n{eb)_iVc~VY?aA4D!&sMp&X4sK|pD-dMMOU-~ZU~?=`dE z0fi3aI173xs3hz^{y^;Ln?Ve(*@%=a374xXOq((qwP1>Lkg}Z3t;iSA(jo;ls{%^~ zpHUC_eEsmlkKh033w(v-Nn2u2K|cxzUoBreU2|)vX^a{NPwzWfnBT zEV(B`QII?@h{b_u59Le>-*IR%g)Ju%F*A6yD2VSO2pA_`{FBF6^{CZAn@F&`i!g?|mzOq*_yor$a;@D9F{| zm1gm)JP;5;k)5&Eo&+V7=Xv7{q8;|%1`28-y5qk^mq(%Beg1B&L8P3HgmZ5ZI2i&* zinY#U&+}F{+n3)Bb}8Z9wepMPlD)tr&yU|rq)TrV2|~OTSh1z@7q*9@`rUxRV=n$M zzhMINU;+{u=pk9@oW{w+g#h`SGjRkM9>yv(2_A;wq;V{hVwq+fOGXnsOdanpYF>1@AFFo(2aB;Q) zUd0+a{!hc?KWY^5f*hS}hQ03}0v3Fz3VA7n{vmvcXe`P+h4{lb5AnUwo9mzshT?dr zs-QSm;$p^ORGgSRBF21U&Yw7Z{rnZ=L-<^;*-4Pi`O8?yvg2J5)u#+TtT|wuhr5=F z=m&IMK9MiXiV*P)l92P$=wXqyF2z2OYoIgW(7%^ohH9KKQhIke{3d-KhkDA{ACV*a z9P&yV4Zh#spr7FV>n9zIj~yG_`PIk{sInWy$Uf);$&8@M&sMySGKD6(Ez-8An4;ZY6K`bVf=#J#ZlVMO-^}S8|AA2x7 zByfA}bggevFWgCz=Sy(nT|~Lf<(Ns!>37ZScaouEDNJtF_$n~D+&Yw9l&K5e>zN=k z`n@xSF^lI-^Y?}DcsL0Tv`IJ4M8=)~0@}CfWI*DvXgs{dwUa|Z4cEh2U*X{$%garO zm;8D)UYW4TLkF4E#cT?K5pY2z`ss(Ce){1@UH%9n0pT+R?GU?u_`!IE{1hG5?3)aE zelH9J$ZEQu9+)lXLTD_5vWkUc*#eT(;$b4S2MdFBUxBOrk{=qx;G6wOAzX2gJOh4_ zJ{Kvh2dM4i(&`Hfq(AC%$};9XbLPa`>6Fn0E;Ab&W}9w4ynaeS^agQZ94~imJW}AT zU^l|dI>KI-c7Qs}mAB9&k<;#AdHD>6HhKVw-V!wBf>9U4t|$C*%|UNJML9l{;Q-<7 za$Qb9lH|Dk`aW5W5tt?5*(f7f;$%43 z-bB=nISj)1G@D>Y^tk=*gy%AdoPpJ&T=<##3jJk}m2|m^VezBC3qC79X^w$QpNq_X z>V#y8mc>Z0-lxQ|REP&phdZJUKk~)EITp_g4g?9zD~FzKCbHje7TW1y)V;>E0ln`0L?J@GvMPUA?ajSZ52uD0c;U8L#AmGI%s< zs3*TXZrNA>rt>YvS_275yq^0MJI7M!_3E0LP;k zYl*Noy)@g2*Ep@+33T~d3+Y2`+d;Eo7h5YF>Nbifk2MWM$EZac?y-<3xdy!i-X15* zMkTa;o*=Aa$Ah}OUqddjaTo8&7Z71DMdR9(MvpA0tzP+` z_#?{1N0@_*){m{bvi|P7@#LsB&@uyJoW~U&vAnCBI3ME z`P>G!cvl*Eur}b7I`c!P};vo zi2}vo@Rk=Pa5J;d2+mfLB_MP`>mIJ-SRnEH_oOj*Q;c)u&sy3eqB24R-_%*4QOSqK z<7sTN0y3KD5R`<+Gt4j+%nzZ9ScWjW?j-TJQNO%%1|3h?^ zV))pi=45$plT+~)k|S|_Y-tWhKiQeVVaoArJ=gI#&Kasl2EX^#r3F`CG_szIWi8}M zc|7%)&-r-?z`5^fV!@=yMaeWM-E#+vn!}K4^N#oyI8d=d!5gpZ zryqa*(U&H{81BylV0-0g6|~6tVgQk`H5PcWzP(KrqCvcJq_K%>F6FE;rS=e}%mtF; zhaZ2|Wf#))UzPm)vkGcWw*`;N6T&A}nSN6B!atIiOHZhh!u}Je?vQ~VWh;GXsu6K% zL1esYwq$nURSq)Dz9?rw*#`Q4LPwI4*cfOksf4DDNy7M@Ly1wBVysO|-GqGrMIJ6v zkQu-;;!j{erT-8}?8AJn1vi{!dtePHSy`mz1$}z4oQh)m6?kCxm6gU|dBWK7^31en zqrBuTP*QUxI)Rt2Y0dA5SI*1P$xF|LB`KaH(+K&%$V*GL> zO~G1xIE7DD1j_p}>3R@;)=|vGgW)c@j`49^eO=fLHh)%c`m*$}C7m4!k=r%z(X>Q0 zm))NGvmh;sh_9hmnlGE_@(K@?Vth}jFi-ECGUcmqI#7_YY_F$L2Ss7R6>!N{*F%zI zudpR$BPOXD(-bPR!`~|ol?@Qdp3z7zHs4dIq=S{un&VG_Ct~#`1BhX=#Nuk^Uq@r# z8IL#0EPnI?n0N^Q=2N!>Ie>0i3KKILt_Kx#!s?Ux#=unPYvE5h#j-`GEy|E|Bbh&g zDbp_t4kv?Uo9lgWw^s!_6>Bi6W#~@W6#9C}t)#!e%4tb7a($ zUe_dNp0Iv1>>J2s5R-w#khODK@T!u!!!Nn#gaGDtqZQ0!GWSFsYI%9EG>QZt`C^70 zWkm(!#S==}qc!wnck7ffJP%*LM}ACKg@Im*{!Fm6-V(V914aC*;F&9*P1ntbjxNr( zkDlAzTq|(f^dj+X){ z;}4L(;m|Aj10w@OX<0t>fPndTr(UbFSQl^vnTU~WePGjn54Gb~gp0?WBwkjkOt}zG zVbjGjK6mbypMLzsNu0uJxpB@sgv}5r!-d6+Os-Un&VYd_2-yoRT=$tjaI*?<1! zr|80!VXjv&QPE)|HM2%L(HUAN=}$kYMgz%L(5;JhC(?{y0eTpDtC$)sB)P{$VED<$ zJlCC#r7x&r3~sVL9B9~Le(^@J%0G4Hm-552oecbPMO@1xtf)c5a=ALrnL#L zli5@}3VTJ=CXKp;sKOy3|4^1N8|A&^yyvYH8ir~3I%qDhcQ46(x15_P&wNX-b&Qw9 zT%yCQYE`dJFs7rITKc3A5-K%#gmz-=%(h9dtf*8(rdGcfp z((4gvF-3`Ls%wt{D|*j@nu&}@13ROvc4a|u>`P8qhGs5@EoJ&3XpoO?m;?vw_j2J@ z1&#zy%N`92Re)bgCtEbm)ODr%Od;XMbOvH`-Y4Cg(O*2cUP&%q82?1r8_cpU9IWYc z6S8a73b(s3{nl*u2PGS0=RiTtW-5bGn*dFqyh9>$Qs~R~yynR;DMdiyVtu~66WI^$ zd2Rigfb)3b%e-pxA^G{|UlE$Y#Kp~kTn~hgFGaW#;6@O|e*=}^39lSX2wneoAnXO<`tkts|`M430iq(PqM z(xNf~H8QBj^SGkV6k)jpZ?sS>Ju=;@Hq;vAba9qR3$D^J8_xm?l=*z&dr`*uL|ad& zlal+0WY5VZ6Gjg9c{90Gc01o70)&}!#8`5mjb18T!JOr#V~iu@$v8W&UYsP2d4?xz zJx&z~{kSONVwk>$>Ab!S^E%3(V~81+l<5>s4n6P}gv1$oroA)N{(3ArDum#brRO=tRd8WPK!ZL!Qs`C9&tIE zWc1HD8X5-x$Pz9<2eWOn8}zt-<#*e!LSG_SE7=JQox)>20y?AN3?Yir+W?0K6dX|f z+XimYWb3@bYB9G7_H#_M4vZLDDCehm0jHjWk<(+JTV$RHKt~CL%AgwZ`X8tDNq*o< zux5Z3LsK17f2QkMxK%*^T%_>{BQ*B8Sv|eiT!u8x$OQ1`fa50!v&TX?IVPGzPceNO z1LBkDOMlS4eHtrLI_B!1l7R~k9f55@Uouy|Ro=8{SU8z=;Neqts}KmDJ+8@TK? z*tcv48VTpx$3YD=Z>i;W9-pCgDrCeGx64*nIJtJs{d_kZ<`+wP?S6kZQp4YVH%di} zQGPXD+^SeI>MQp(SQsDLQ~Z24q9P~SAG8_Ni}2{Vs%C1prDP3Ts-K=CK|hETXrGdZ z$9_yAhaVu=9@&0)6sT_OYy?`;CVWCU{>Q^s!bfNz_pqKE;e9uR{qnoJNTC1>iPpx6`- zC5t$?zt&yaHx>aJzSNK`>~WR#<>^$_CF`P|Pnr^0^sBC5onm2;BV-6KwMws~J^h9W zWn_}R^q7bBmmZ@C%y?#>bK*Ccd((_?jS9!^hi0P8MQeKF3qcptWKX5xh`;dYRhDh|}{-B%+H~__^(awqmvZjZ1)T zTm-Xzl}mg~wzsM?;kNA^)G{0i=@%1%HlCAZOGOZ+DSefB#uE2olFbEmaex`GZ=JL9)p%PVR^E7;Ygz$k;sCN$5al!=mP&X zyxAWeM|1)cZZ&pVxV+fs%P+n>uRcTlK;GF+?O>Pa;!id z?o2!iiE~s$MOS6GkegdU^T3zaak^ef^Ci(ys+wl*yWW#|zR^C0=BPn_5%K!cL4P}| z7^bv0g+)8g-(0tq=cfCVBR!*;?OUVf`t?`6;kvFY)7Dfl-vP{yfed)Q4MckU@{6<} zN8`8Obp1w%V~9(XSs=oM6+!N&7n%db9&TZIQmHqOp21M&G7;fYm9yF}hbHm;mK{J^ zuUB2wq{rV3JBzS;*+%q4XJ*isLK1rk#&~;i9!-`ab*SlU!a$1>FqofvBGjT7xF^TX z39D&l%2fzZ+E~l7#Ea2m@E`%3WB#}=_Tl~%{ve#tG}{b*W@3Gztd*C=S8wvOMgeEe z6Fu5@&FnXCrZs^u*a&|(2Id(aMRXuW?&aTyo_-O1$(%d>C@Q6uCTf2-l8Sp-gBvSd^$Yonw(vR(*(yz(_jf5c%P zj#kGo<@Yx*t}EI6%5o4H@>r9^+<~{$`CgT#`^$R85M!h0PhnGB3G0{XR$65|`O~r63i+>fWhR8N ze&ZJ?S1=d;D&Cd(Hmo$HNp1>^G>9kv#F?jeZZVitt6FpUv2dM57`gLbTqN?iW@PD5 zC>ez~!e>Mo*0Yn-?2m&q3-!#~w=*VhE%83KHMV0 z;-N{dmFHCeE_b)WhClP9pDqD25E`}xsf5VE;XzSPM$N7WCdFXP_3;t@_%6jw!dv!n z`8l?uwc#`c^Gf<5n8i|Fu4m$=`evRoTpQa#_HSrilZ6I?@%LC)MUyuuV<=Wu(kLzp`**G(Ia$pAZHmJ##iFjO&TD2uPKKEQbLn4Q;v02cTl?K63b02a z!&=M0TH?i;r)lGr$rGow_j67V3!fUeM%ALvu#H_5SPuej6t3KvJz1+qA)awFq!Fxc zTyR0qKN>IIwzIP;FcaNhifnU=G3&+7 zz+j`nwuyCh3=VxSqZPhy$_paf??nFoi!Yde*YzvcU}gqm>+h!nu*dHOv-tgYUB8MW zV=TsQF=BD*dWP)IaTZH}NVtHNy}HQLY_+`Um%VNI9rav=Qeqi-#!BI?{anc@uHS=k zh^Hgcb9*Y)#lM~~KdF}ekmeG(A&%Bf3)WwNuPyKs1Y$oE*YW9*XzhcDUTQK`bjNE3 z9oNzlmkn2zQnYAxn_)9dFT^3A3@wM6HV__))P7();Aj`R#_&v&m=@Xi>o1n=K41EZ z;}O&z7lnd2VgED|ZiEAHzbLbGylyu}IBZ$YzudO}^1Fnydquy&p2HTO&iwzWwBZJ< znR)Nq9w%6Qw^Xz}wWTK0Mw3+*{FTAqEnF;hR(Q*sgM*Tnhh6nl?`B_6ULGs!6NHB! z0f~@7NWuv56l7-jJd&URS>&CusrH2z9I?`CHpG+6T3yRg6KBtOn)3KsUtqD0w61H$ z#{!aUeX;@}d6O+f;P4>KZ>|g(l3NUj%Fg6gEFX=mUKO&5Y?>e$;$RQy$HKCsEW@+p z&ftYelN-skG$#&nhO9}Zr%{XMI5~Na1$Vpj^uCz+z_)RaMSLCrP4v_Nh^D%M786B? zBd@MUW>9W!qKNk8$665!@fnxRoUX>*b$`t%1$wV|wSa{3-Ka80eZsO`OCJx^nK_u6 z1#p|ZaX~{*$;+dHQ{&r45(ZB=EzA~fR@hWqVieRZyKGA}Gdzg{do&Is*vX{h#cgfb zKbJGvXHt&qaHRz!bJptd5C^tc64qh_n?Z<$arca94;;oVcW`k&Jh#qd%{5sFeRqKP zIs$%W?o=uN`29Cs3d*)2VnHp;+=if47o$?Od}hhKa6RXXi6F*e{G90lCn#Kv2$&b|4}zK zc=m~pvbQD2$RAIpRO$=D%&;}CpKhA&?3m%6LFRtQ z^e>S_GhP-=0h`a2Is(L{AQ&3jDWmOScA5~#P|?cQ;noplpF@IrOx6Xvu?Rr~5y?Q^ zV_S08vbwsUp4^=gZ%lB8rt~=6n(EbDShvVwTE!%*%n3sonrNQR0>?ow5Wl^D{Qk!u z{L?F?%Qx;D0{RlNvps?L8aV5fUVH+dsDP~jCC<9UC3+YhX3svb5PCG{p~zf&{`Lpu z>e4L%T6B9n+f7f#`r?Id2mS3=zXOoXCbXNkPjV6^mvd5KjAnM9d6i7@;wEjI;hGv0 zCeZuG^s;QC*i4^8KY}VH%Ie(<8<}Nj(idZd3EsQL5>A%K>8u>2Z$oK_lNp-Qb$!otYI`kf?UmUJDCeLRo zlckvi%%!;Orl+f}NO_#fe9h!}gxQ^`4oQS-=~)PzDW@&@mXejf?VwjW=duI2KBV{L z?cPaDF5QzH^`1TP<0--^ny8x#B4Mu9A5ju$>*)Kk2eVA@jH^%4M3`dclEF9*k3;4H z!IIcb-HG0f24Hi2K{y27=@q~vj2~!YNo9+wt|i42UNp-_pDh)_);==d3d2G zDas5ukzW0I8<%JWv>VO|*#D$OPg>>cw)s;ArvxjspdQzkSI}7M18&|v6bFF@uPINB zm}d3+%kkAk)P@(1|77v8=j78$7Cx9x$ZzqN-womqBb?^GD#Uw;swj#6XjEXo;j%*h zK|Ci=mmrDRg#V4!ccb_r!pi-^ta#rIF_g=U{I)O=CKH_{rzC3%mA?a|U_NCjuR+4z zfM1tCrx-b(JDCCT-3X77!DnhIt+~zMB>i9C4NDgnV#q;h9{sz!D;{p6DK%f-%v?{x z$WJ%&u*$?h+`SS=3-Rn$~*~+3Ok1&(#PtG29b3?CE{`})lhVH)jQS--?b!Q3Gs^ggz zBJ98~ON70^{iuk=cqRD#PcD`QQ%wc{1j#)MUn4Gt-Ml59##YvHJ9<#L9_lp=F2{$2 zY`sdG?HCUccf;YVmtlf#QALlG}+jx#`KCd1sL0aGr9P>Z8zfa zWAiZceO(;8criW0p+tn92g#mn>zM5yc*N&afXhAJi#Icjcz8mcE4J8YsSo^PGB>7N z&51vjIhH~8nL?7J26MA>9#$FVby|Uh3Ww3eG%8lyo$28=>-pk&iM&w9#8E8@fQO6N zGKS{y*@@4v>}ghnHi$`&_N122aUAHRPQoPJt6-9y`O^`M!#kZqtF>S>>Eb`fFBogv zvb2~cDJ2rd<=;5FwaV85m3En4z{u{iJY${@^Kx8xEM&=mm@%MZWYmRV1RTrFp8N|H zu<0G?u?MgLleNCf9XFi4O{dW z%E5p9r5(yPSr;g=A9KOV;y6f92%!~${W6Z2f@MbQQWyOWtV@NO1Lb3I|AH(H&o`W(OCT-D{ zFY{;kMM-a(==xNNA~p!(EXe5kcx=38@!4rBjcq4g&WE!aiHkl)I6UkhW0GxkPepGY zI^mAdPAxy~<@up}*S8eiw{;9qFLR4^C)4dRja%a;SB8j#CN}XL`dq?{Gq3q$c!h@m zkz7yfs0R}nIuRe!-29x&o5})flGY_c;}a+20YZS&pCKHcCjk3jjJ%V3H)FHW@A6-N z7~yS)sQ8;9-wSIYE0 zH|C9wV)zvLLeEt}n^>VZ`K*f=gIz?VV)H1G5FLs`^0v0WH^7(dxXOGv?nE+Vnpg< zU|31;>Y#zWjmwb75lLkjri?7Lo9ZLfyNJb*F;^0w$~W!JdAl0*5PT%I`%)44Li)gv zod3Aq=+EDc1C7m%3FF<%W4_Y8%5>z?`0e0T&=txH=!?TnG+81;D>K*e(9=Zl?p+yT z^UlEv!1eg`E&4ewr#eJ}C*LFJRYZ+~G606U267EuiA$t?*Iz?7PHVF^if@b+hRN2& zs80e}&Lqq}r}^L+gPFr??^&`U+6gZhnZQe*g3eoM z%OsNJ50CB%#C}!Oq;Hyj^fJrC)F8Ud^lS_ns=1kot%RIaLm$*4VJZm$`e&}$u(Wlr z9T=tu6AAjPGy8z;2~@JWS3RQ-sH_310`5(w_&qLOu_>Y|U^ZH^}K!-^pjKGTm6<;6mf)Hi{qk~`FwU5v^X`H7H z-0VLREg8E6fx*O{Wg4ocRH#vam^MapP0gg)fBsalS^zRm6S^ZroA9{w3G=op3N;9_ zyu^8tOs41*6@Nb>vkZQ4bC`Jjfg{#RAN4P;qQRcBPe48!W=)#5)Q?X;BgtEKp{t}5 zgk;PBZ+P;|+!&6X$Gvh_*m(IP-NQ7NsD`$$%AMDnKMQnevL`MVRD^&Y7mvbo1 z9peur7PSXGgxzB*vq%TyOBri{0$bKC-7n5rTNQm1snArF2=nEIBn;p>R!sgrZPOc zD6hP&Y;IU&qm08~cGyw{*c@d&8}fWvm2XuD#IHGY#h2L;PK%4-jMfX%Bhvk;Nc`oz zFTs-{@|&lTm8R9z=Bd*7LmOQK`w2}3TagW`p_<-gORvy$VX3Z~#I9;T*AzwcGboxR zEkWyer9_O+l90{Di7Ioxnf~o4(+FFHlU4djReD{#x!DRAZz;2sUe%FI1By>WRx3lD zl}(i4UEJd|bb`Ze7DvCmr^i0I@qZfr@lZDZ7r%c$EW9$BQ;8PrF3@$E3^Fm*A4Jfn z=p5e!kcvL&x|h++nBhrS8=6Lt_dW(JGTNs{yl1*W6C#U-(O9uC%}WGCNQ5XSHf~Mt z9o5_w;+Y+a#Di@}x^S^hj*DTCp-|AF+0qqOY2uR9UxLLaESy3(2egb}%6z(P58&=$ zX;`c=9RfK}V17+lYs8}DN$N+yb{bXRbc`l!9}&ZtVz(wrhIdk8a~YyJLUcXj5MNmB zNs20R6y^`*gwVj{OVO94NoB3cv++B~l$IcK&pGEi`SEJVe#6n1@5#Ot0wzJ^h9LJI z=c57%0SCR|eh@FS-`Cn5g{`${I83(5Du(#dCS*!9o!AKp0c ze(N)=jE*DyUGAC0NTzq29Og3x)2q-fLLp`+O{1qb?Z0Xxu?^2`3o{bOXdrae5vK9G z6|ntR*J0#UqU1^#T;4f|lGyYlvk9xoY{@47mm{5l_v3J74jK3_)#idtAznSThis#+ z>dykInDp$B$$zuq`|qDzFKLa|IZo?N&!c;%Zcj2cnL_CzQp1p*dy@Zc;#V@UWUg2gH7c(|N)>XP^0AQaP zaE+Dwf~rmf{XOrV+e8r=hyJW9jCPyeDKO@OAmm?^s))-hLJcmn*8MStSGi<72S~l7LV`et-iHZ;4%K5ztV^=KB>MyTLXp##Zr5)JZp zupjGN}`D4XUG=_vdcicwY z4Ri(!?~p>^2$9*q&X8C;i41euf+O-J!VpZ3i4y?B1cqlV1H;gACkkwGjY+5tvy>P( z3>h%lYjdXgfS8Y)#^QT^7hJ|5f&tv1G1ov)F-{*CQe@>A67~i)&gRM_lSjY`ybwEYy& zpQfmbB{Vz}#s|d~ExUtTZv+3A;ErVE{=fhIkFNjzZ?pfeC@l!nf4Kg8zNNTe#WW}B z0kiGTuoL#SqY`HT+E1I)gv{&`wR%Q39+O3aAhbveR9dL&(B<2v>78gQql9GJGk9_Rz&kv@1lS`}3-bmNDqFpULAGa^Dh*)+xvCXd)GZzL&y4 z-5Q=JoyiEw$ueg(sntXm(@9IJGO|!s3kv&yn92GU;u_0{(^yjo$Ejtm>xoLGHsKn!p*nc76fL(l zfzvGO$L~h0Aafq^f6fK{;^X5bAO@>9fsIJAZkOM-Sdi#r6DY`iH&Ddox50#XjpO** zRo*6!lK<Ro4SlS2k%)%9+d_%D{Nh7>m>_*0OZ;JQ)c6uk)uasl?gD1` z3^iH48wxmTJJ^TCw})FMU&Oxd5d%x|5zhc_Jrr$PCo`z2zpfztW6|rG4Ppxm2WU0yL$KiU zxjNm5x?zU#gpE7@alOa?delL}Kwc;e|34#cqNd4hBkkVVj3rsCwORK4U(1O%7G!?i zn*TWzD@g)@K!9XsRm(~imK9G~Tr;m$nCHv#{akc$9_lCQ19dJfziNTJkRKctS<4fh zm;N7o9=~c%8`8)gAIRDBW&0li+%Rj=^$z@8iE9kjAoL6&uem!Ly|!Ft=@sg{gY{d{ z^Q&Z^vmq;(MEMiTe+(XhWDglFIa2gR(0O|n@Z~64@Uw?zs=tL14Oib2zdKLy&-Rk+ z?7Sa-8=X4^jOPkfg8p`4)9Fj8c^A)UraV43NZ7X5>CAMBh8i-k|AZ5que$Ip>tX|5Y!{GJ-p07!dknQn@_Q|U4 z@+&qd-^E7(JM^sBLa)T19ilK>sEDpMLv&%tM%7&iILCMrBPMddikA-Ua5(VgfHAi% zd|N`$KAR5NhM0*gYOsvjw}yPzlD$vh=efya!Y{-(+g?G&z4S5&VVcLq3{wu1s6f~S zHlhw8n9~LS=6~t2*^vhd+&C~dMhEfq-f_0+FW1ZPI0;xRqe0HfO6o$bg#Y`EyK^W? zZE>$ja;T#DGHTiE5XITFxdh=0Mu0Yw{%?9*+W5F~xnYG3)f(FtjbJ={C_U6$qdVE=rpu zS|dwhPB3zwh6{w-1irA^ia`=&BBR60GYE{msx|11v|dGO1Ilv+dY40zga&n5@!B?D z``&oRiiBN=SPA#7Z-&{+7ml4yQo9#dafdOyJ~VGERP{VJHvG?fynMF3}*&!i@)27Gk+6?$H%m0NFdn zN)lMa&QC7#_haO#w;6LVWZX34f<-gWL5d`An;>pfnl4OhQaRe*BnQ;8EvTCyo0BNy zX$irTZ78*sduvDm^94~(TJuqO!vRg4l>v@{5N5Yw_WPg~jo+A!UQM(`PwBXB3=^km&61 zz#CyqL(I8t2CFU?Is2_)3Rg_dJQ6RbAZus0!guSJR5iCcFXHD~cH_+z4 z%{|#x7?`a8V}cm^kJe(WjX4N)w zf&#M7O!B#TH<|G@P6|CEXiOUO!iuDgSt%XFGG7U@$2UT)V!4xZbiM$Ip5g#w`$#1L z?*RuK@UT|Ebx&l+G+;uD`YT%j?k@MO}^J$Pp_>ZM~oQgCvRJJ4F7)RLfoeEW}Y z)XnWR0PNR->+``q<}pJ=c1EX@qoX4z*^^o= zI}bk}-fhD_zCA^y5+-R`n{X*KCYcJfoJZ)0Kjb`do1ME!T`IKN%ahq_SQSosi5(|T z3v(QY%ztzg(QoG$`5OJbBxp$;?yyUSr1a)8H~eo1=b;S*C_e4gRBXanrb=dM)Q7`= z3ZLa~CLC8v$Px30^EWy=pI%J2hr-RGX%oKAtBN{=)uP6?O~gf`w4hmVJY)~G0evj1 z>+|k9*A;N+LJr6B(SVHkTb>bG+rl%0V97;SUERY=wnE{#mdJ{D zu9yvMp7)W|0{V|iMGA%}H{w}hs7ZE7U^RQj9nbO`00si!M7i4#evT*nh#<6J@9A6@ zXT!GHd?D1c7^{mw5=UAlIVIx;zU0|fGg}cVxPkrgWz=I!EA=W@)K4C*3g{P@gLGm) zD7OSSfpdh%8gL1d0emARHVPglALo$rver#`(=_`fJ>r{?EN)*iakwhB%r9Ne5@YN| z2h~*gKv&BkqsDC?j?QFb`ZR92o6Pp{ASXR{06nn~7J^sMT*V;gZ%hR8A00#>8gog? zYE)OE$|5GBxGteXs_ABI4n(d!Qi}kz|@^trX2}YEloo!qia5 z&lTZu2jkp?>c`MZLRF@4QxiQln3W@qxjOmWPM;0%e)Em@Xt6@Y$}>M2Mn^Ggf!UDh z&g3veL2@yk8fRlVHnp!1g6TNGy;UzM1d?CQ^$J0se$PRrpm)MYC%*}Kv z(ca`}rg0yv3{<22J+7TtPS+el5TkaP&%$9?uR-91aTwEesrLEdOYZ<7(`(FQy63Zg zQ7Z2k%MqTVIz3Wh9eK>0H1AiKd_GFHivMzPZDetJp4rM%WGk$X3)^;KQNc6Kdb$+B zXBXt{UyMhj(7qd{H_GQ{qIc{k&1K1VrJoj88d5(+(&{6Nw3&T(1Wmp>0w8xx&*T@? z_GKn`=(zNCqif=`bZPcCg$KKnddg5@f{ZMgg*eZcUPUU{EutOZd^8E z4)#|4)-x@P2H(xlYR=skGk&S(Kr=&+EY;Z)3pDWJzUT`R+5)G7U&jD-yefsE!=_DWt~Oh@tP zi#j2Kx&fn&&a>nqif-1M)fg97*lw2L!(cFxZn78ij4==qVq!jCJ-$VTn=OGOl*v9P zGzYoD#Ozr>uf|L7KPkL=KQ8E!y*>~`e)HXT`m2RMT=?5XUB7afs(Z#F37n@kQrO&} zd_Jxo+yENczjpX2WD^iWK~WEsByNwZP8<P+`G816J z7EQ663T1j%U9gGKZer*yG!M9MjTY}A$ZVn0y8i0Ezx@(Px+#RJtwbrc&j{;gP-EznWHTN^o12*e2Zna#Oz;pd`c`jF3L6j;(U5!?|9NYu&j2}for z1Ifu-m_x9BG_1%@54}Nw>k78XSlF;t;e1x6O`fl4Uq%OF;BNX2(A!1}n5$~5op#(U z<__c2&)Q9WNQlGTGa7oLeT{g#U?5VnP5gcUm}wKRAB`M&3Aqs_TP242U3*f#Vhyf3 za_$=NgpR?wqZC}nC_r{ozvpA1;ASs>JRHS@!EMq`znca;D!TWywC~ z_c7Ua;fgutaNFAF6ahn^!nR$K)tp z*|aVpDG`Bd&O!8(&B*yR#^qS11{<@W#d>@KBX7Ar(`VJdi+>3Vw-v*ftnpWZ>EBu} z`orUeGMuht6D0>p)vk;b{?Rbg6LZ1Cd$jd|ALxk-)8oK1TShEv^*jz$`uW}H?dAUY zHGjX|4g2c5v3ua^_(*)Gmw+l!uK&CZW`pzgV3>(RcN>|A7uk}=z&aK-){W2RS~nab zbjW;ZqsRPZm)jDS1T3hBu0ZILfxqXxF;f8|9TqpIg-Bw|M|dz!h|LDX5)sfpE5mWN zQ2`x9M;IF@o0TSlgBmpndI`p<9G$?WV;%mhhk^(eJx1~P-=Da>YAuyM&UgWNOr z2lwkH6b#h9h9G8ouzMlGC%fV3GU39gG1*@`9*ly$anPEENJmpgCZq}I2!TUsfM+h6 ztWPecZkXcsW{I|K!a1gG1d@|7NUES?&E!C^_3-tY_gDYKZ#x%NVod z39)!H0N;9F!*63B)M}ouK*zgxif`Sfva--C+K-ID3o( z6^kcj5M+&xb$MVQa&v9`so{ytz6zG--MDZOx>K>^?LnrK&4)pmhsl0`c{Lz(9W7m+ zq*qX>OJGq58sFd2l^JkLvM9{sdCDUjZB=+}*i^r^W#JE4M3CnA*fq7H9#G1|94^WW zs^gY8`szJAk1c1S(4-5So{;HDC%@XQxmNu$YUYzr0)rn6Yll8Myk>coY0?Ii;M-Nr zY2iqI4%4_%zDI)fGhN>VtQ(W84LvrIa2BrprdyTzp#G~t>3V7VmP=?t`_Ed44IQfZeILdU0 zuj z-#dY@**JJsCaKJesauCy$JGHM8}t$PZ1ltC&=HoV)fqHKzYpNT-E>a794}oX6jLA% zGbS~ki6cS^@w*%|xF*dc{AraX3V%eD-R%I_rz`4NyI~I40Mpk3#9{R>606$ztP$M? z5Y=V^%{j6JKS8DdS_cDOSZvD7OYFGt;`CK%0IP-Ce~U5`>*1g)BpL;@4t5kO+bI}{xcm;&~oXk#E0o}g!sutBo>&~FFYpul7j$sX$hl3N<9LHutS2Gmam0_c{rN7AB#=#%^V$uLlGM7 z&gyawQ+(eOEY|2!OBYqj)N*RaFamR6=le&O>zq0eb)4=zQj18l0|d~$G68Tm7ftj( zzyJ0-b$AU1e!bwX8GI$aWq;inXiXyf<0;dFm1`20qTv)6rDr&vL2ZEF&FTG^1k6;C z)}82z;VJB83^}>0th;a!Qhc~f z5d76214cpkb(4#;w3GAH?fE~y8!t({tK8gvH*_ay+TS$a5V+ZK-_c$0qX}GoPF=aV zBHLx6zU;}w+eKbQf1A7CjKGzTIQ#vnf|9lk^RnT=V#y9mhNJVIc#6esJLe0^O^{nZ zbnO@Hbgv2Qat@+x!?nM@GI5xd{QvoG91*J5QVto8x&rQ>t`npXav^5ljs1J|{oU|B z2FjD~i#m71omox#ZqQ+EYo)J`&9g1OYu|nQeg8!$sO6xl7eJ=HXL0$Xlx*U$moS=! z`{sqnD%m62-Q!X#2d3w|p8cXYH8|7Aq_rbzsnV}a$jJW&;P~ALae7ErPp*qRVZNxX zJp#5r1yv#z-z{rNye#b@2t7w}gqqxqa3obZ%)@YwM5y70td8VxtR5<9O<8zj$)+6d z#%=63;l}y<2HXC9+t9hiuVKo$lw;|tv#Dr89PY8F5nH4khYxu}B zD3I!P_O27@#XX)R;r}w(L)pX+NugojdChb4>HKzvUcY6%;XUWnMR*Ob0-s8o@#1fi zuecO$dzjKc+Jw{>UT>Id`y1?#24!Q1qQJCd3b`%K!~9a7^m8u#F#Dz8C^$bveXgA5 z9@(--P#u>EDz}YYzK5?8dIueOzasM~*7E|7kdJTn;cDKbC(19qec=vVi4c0~O2~&H zj5e9WiIe+`2|GPU>|d%A+AK}s?}7Z{Kgkb4XDyYlP1H8Y5`1&c6P_d~+m3=75(o=OjP|^NFGWcr5C>W)@^mD2 zF}JzvhY&|r8qW+~>r)m5_#YZGHrSC*_9Gm(4Ig~5z-C64Z84DkTn|msTV5o__0*Oc zT*^ruyuPw69eMmOO5y!qw8-ZAt3n*Ua|)hiBQitH&{mX)-#*;^akL-KYIFZ_+so}t z<69DNPKMOA<+p7QMj#0olxSL2Woi&m*NiKT(D=^MKy$o;V=ifL5Tr59onr_SF+O zjEhPvmQ!7BC$2kxsXOY49|buea65&U0!t-2)`BC$;xRgn9fAh+l|rG?@T?WR{FQ5x z(^KP;%brh)rG|^+d3Q^0n($msyFf>-qHM{!AN;2X9~nEVrn^Psd*SZYheh_hnYsYX z^r{5Rz&B>nZwm9rDU1?R{ehQEj$Yd2 zf2Ar9lB;P!64j|HGJ%qnx@BEGVB=%&eO~2DYLo%VQA}af+U8S$-@6lKOXnTsM4x3rb%;)uzi&GdViUhaHiV&k zq4^;9cAb=_@Gggr-IX;l5BR0!U1b#183NR_E{$Kw@#e{VZD_)R_m$*e!Y)DUK-Pxt z8g$z6WQS%I8M)21D>i*3KE6&|M6AdCCB}l6_r{>elyHqS%HsKS3#_kJLV5(TWE&%VU5kpTj? z6)GZob+y{|M>|!0NB@o+vDU0wDj*~tZ}GfFb)zQ@LvalDcgM9jMfTab!-($^RGuY|V}@FveC38`(3AfJ5}L$0)D za!wxp0j!p}SaSEHVB#9zfnR|1{gR0`^Nf+ehXSi(eJ7rB54|E&nYBFOrH{dgGKACG zCm|j(DHGU|YeK3y(N(-W7JRmodejXcjk7tnY#A=Sm&(VVFl=!Z5|;iD=hcz9 z*$FkglCV$DX2WXiD|c@m34CIfxMV(N)5@*aF1qjrf$?+p4o`X^a$BaN*RCv)i+Y;> zbTj9ra2@!T>6r=B1FO&9A~Pb^E8jsgGFv|D1nxuSs*{sZ$ z9a6Wxv01PKZ357qHFY<^^SndiV1O2X9Ilq{Akkc zh8etN5EGk(aDZ{cMC-yUcvYL?9p=3^&T)~QC=+6kCz}YwRtPyO-=3aV1OUEp=S{S% z&giQiL0*Tx6jmlY-T#ry;B8nKg>y3*BAgr3d(ujiSB@*yiDd}6{cMNLq?-o|)G+|3 zcSW{-c4X-t1HmWPQUD4~QDn*%T11&fB6d%EAFE26L#S*q2ej~c+z&bYFMUl*XV-hZ(51s=t zW1*VErytX998W2Y;HJDoL*!_m^AA7%^G7=d(SevJZ6%qyFe|4~kjD3ZVs#6d>lX~jq%}&loT+uaJm+(i z%R&!`w$6_Et!AlwP`u;Uu2v;z^9I-+=Be6wA}^ez3^teJ%DD^j^bsh z<#;xk3f2yCMNn!PmOET6d8yRZexm!17` z@xxpXae=bZtgwjYgZte?J$6{&cYraT@^At>HM_FpcrHmGPz>%d50R2Ld_cV97aB8M zn`IMz>N*$eE~2TI-iw66L0=0!q{$=#Qe2xg238@^l_I~H&Q@Pj*w;J}nSe;JoShO} zPlmtYOS~YTdN5c64rYwrXf3k32}`$kfrqNEK@N@dSvDrZ@C&vC!NEL_OIQh)B2=cY z=tN0)bC$*zPSNQG*;A%^8U zT@#i+RglVmauRE>lU2c@w>;3mPMXBsGL!uD!;k7_igQH8Utueq+|Ex1cb1vG_bHfT zF2bo6mlR%w3lk5_`nOmlCxb69q@44Gnv<=CU}n-g=x^u@O-{tns&bHln5>;^f=+J5+9H%vWO_#GJo4E9N2MYqJoqrbNx2L_Bqo{2L7s zN#$&T8%;iUGccdQ=K3B+*MNd5%bMpaxfN>N3OC9SI6~PAf}TxiLR2H16uAK2J#<0f z&;+|G=js~DuiAt?g>fd{S#OD1Yk7`fxt!5QulOFuvMn7MMyUJBmA#`F*5Vg1%{88C zPU*8LsheqhhFW&fGyUm#-=9*;!Y;ZoHm;11=SSWV@3$|w%UsrlXXC??EGL!!okB-f zZ&{=MW{8HC&_$F@yJRkzHgP*9uP=ii+Ff3j9#&01rTL4&A>faT!=Q62wt)1E2Q*wT zk9yYPI})^PIaEy?$`PawT)y~?Az7&TVl08T)r%&y4g@#{*^^Vj7af;5rZZt5=>)eZ zvR#vLlomnieN|qd%NiSc+$%!srmT_JIKuW~#u`D1jT zc;|X%Xf=CZbFuH3mlWzRT6u`gS4RF3Exd-9!=;_Egsco`8Jgp%mSpqF_Bi5wKb4(3 zuBcST*fu?Kx*0@{X9be@=63pR)ailSv>D&wmqGv^n1TzA90wW&UEv)O;_2+HFaBJa z+yR8~lDO6}vw&jjM@t>}+8UUA-a}hv-uO~f|13&EHa(KGi&*L^280_!&>hMVTy)1| z<`%*{;ZLeD!-LzbNb%g0%y0V(V|o$V7b>P> zKCH>&NfgTcl{u)Tm-6E3y${HvVL1+3$A^2K+2M_;7lR*bYUvyZZ`e?){{A|fyI?PJy zzDSszqpBci5WkGldg+i8pJfDxkv?UV?Dl9tJZ-!L*6Uev_IMY59%G#&aj^8N%4J71 z#37%JxCr2a+QMVA)Tqik36v+?bUk*qHqLHucyi*&rkb}|4!_-?PO>U4*|Nlf`DgW? zfBHGQLE3AUy4w{3=dL~t-Oo3nFBE(xHn#~KL>*3Bic6JARXVTr0t-2OyQ{NJjDjO| z)a3rbT|rR-lixH!L%ACjMNzgwmSLDwATQgbpX^qp{iH9kx*4<~%o z++OCrSnh@n!{~XcK4m4sMe;DQ65D0Z`=!X!epHKB+M8OUg0pq9xAjy{2mBY*Ny?YK zBq=va?n~P+9gaJMZh$QjfSU?RU8E{Yqo1{q)v=^GAXW>ycJyx zHWL%HZY(O5Vm5jaK68UV!sAAV8|u6PU2CZ8E)Dg#Hv#!?vl=dv?$3%tsFmJ;A#GsA zG)qJfQy)XO&U-8Y`*K&Gsd|l7YuFs-^A(Q{p!<&Kzj^B6};4*H8-A%xhv$PI7uy;s^7vPq9zKx5AliH7*gA~gSrXJc{4Jl$z)8g zt=UR9&|%-1f>FKWiNU$&b9rWo1%U%N%+jKM60ZmW_8K&W2BMwOgyFJ@d+z;figSr0 z2^`GS2K=F?a2stGeR&>Ra^-0C@b7A9mC9%^{f-)$kK$w zlw^R&*}*u^jYuGu+TaITJpm4arFG#FZG$Gp;BYyh%7xx+yRS8WoBKgv70P{#S ze-i%m(=Y0Fo&X05Z9`x;hfVESa~cX4g;~z0bfEO`#vzb<} zJdCgk;z(#QJ&*Vem3cSwl`i2htj`h}=+epLxmi5Z`Rez;`+%g95g_^4{ z?m-;!3gVK1hbo8ntTFfUZxbFpC-uQByQ{2%%qPs{)ijNbV(>-0{N%KD-;vC&ve8d- z+@@|h$LmBcanV=tGBgk2{$apo;Ik+tZ^$B|;{mG%Zy8Aw?$<9LD#d@<8re7ROK;RP zS+Ad!u~UdobA?*5flK=HkH63XCH}sZfWSfE9Z!s}M=lAkP!D6@4HN013&7K)4QAo* z#*h1M#7&mw4qUgH+`kC-qov9JYS0?^ggFzaIP~OGruO=7yqre$K~cPQ9tg8=@~6YV z-?M5q2=IJ2oY!AnlIb-ugblln25s=ZmC2(`8$?cQY9y&O12(6Z`QR;ewGy`P1{#hx z(z^8{7LAgSut`;Ie0(=jJ#_JVL(#|Q+mc1k4iOTIqAkrjO$wkbCkQ0+F;6~5b6l!; z&|{aD23s;`fMJR@DVu$rpD7aukzUJO^@_2(y4Vas!k($n{Y_|x-93!xM#52b+C7T? z?8bpqm{n^qv0{60D@&HEtIRSujGc00-?P^OccS~qrW399i<%xk(YcazYO&Q`5ZC09 zf3Yr_vTFIH*@hT(jLuq9N;}-~6!RMTLg(L>U&YA|zfBwf?CfI8@P1MPabLD<^w-S7!H~=*;!EIjk)A1AienYN;4@W4 znDRW|;vaL8lE?)Kka$_Tvrlkzcn!f*#9iK3$(6DFqO!FoLv`FOCM$_2f;(A-iw6+7 z^Ne<>3<$$AX_ZuYJ9v&5wlorTJCCw>ts_j}6WbJ9@5?Rhlcn%t^7fI>*Cjig=V#^= zIcDWfc9>sx*eY8W!g1;xueBJ~K3;agTQ@{M?pjhyTa-v*n6W-WVk{V02HjP_kmh*o zrOAvf<|fqP!7<5AXnfW3uwZVoPowSg1z}(qRPNeRlt#-&t#oI{sGkw;-c(hpK%_Se zlv;Oe(jU-OV^f4omx3Jb`-cQpoK9eR%JL$>{}dyK8$s?RsPTz|V?~&7R_)DOzr?_<}>)` ze?_4!=31tIEu0+;W0O1li=^QVwdanun(?47ldxmyw1v0W}0!9Ux?L@35dN4EPpVMW-T%)x(6yW+dzKXEGAMS2jVodBtU?F(U&}B z$cn|?TbeBBbl39PS@KmWM*j%lbYz6eos9G%G$ArQgMtDD#eSkUI(YvA9--ZHjwfhU zlvMxvN$BoGQy?&zm8ud7hVdsl^`xCbL6G(czWN5$7%!kSi8doHH$(K+=(?*dzXH6k zDH+M}WiNC-@~4VzrGp_es~;>(kFdBV!)8R`Y0Vv3VRx#_!UTMLK$*peo!1o;iK|X? zzLB=$(9SoL1B_l>V(9PF)q}-7tPro@(m;ljto-L*MX}D@QhIR+p@}Bj$-24f;#Wxs zFNt#yx=M);$o~l0hcDEA@~ByHK2n0J`5`P%z<0T!f3A9z@{ApIJ{)%24eBqy8x?5T zAN!;ml_;y)0@BDsx{@XS$&+sqFVJmIX#8|`E94#2{SC-W(cjW3%V6K@{30b8O^GWZ zgE$bfo*mdq=D<0x#i5;`q$CnKaPJ6ux@I;ZdpJ-D73Lvsft{R^(S)X#XDco8r5%+(NaHi{5V^;UuB! z5j-oV{8OkloOGX_4v^Nwo{KX7V}l4CJNxnw$`&5m$YZ$niI%%1>3hSFLaQP3E)%gJ zVjbntccXNfzeyH0G!PVq(n1(N4FVwm%_|#}D$i-?hK}+@~9%c97z)uM;rfz}=TT*>GrsTa; z7)7d}Jp2iE@NVmve+p(Xhui28Rf;HE*`F$l&}M>Z}|suhmuP``hfS z4PDlJQhan{FaZg@)w4S8@}B^^`(5hGDviGy7!D!K_+%do|0ZzT_{*>UXM&K#B%qmO zNE;pN5@(tuj>6OUM)BvZn}gu_dAkAz%pIMGU>v0%(kE)3`)=|I7u8b+0bDBxu ztRArL2Z_~Vn^V2Q8bCyw5rmZEBFZxy?qZnHud#eNnRZD`3$+zCylyz#&+r1<`7n2; zfER{1mSG*Uvo?`@xPg7yjYtdxKD=Bw2;R!>?G6*Z2U6k~V_&d1`Sp+-7Qs9{BjWoO z5n5iLjvBfymx662W4G_njxZ0IoeNU?JF>1tgTJRQLY(diZlv`*9t#YP&;;lS?^=xd zBPR`K2u3{M#~6;IIdU`q;?IU{aE#M?Us>@vr z;qDJjO^)|mt`_ltvQgIT@IjE>uFdmKEht-Mp_N0V;Xmu}NueO~5?;a*fajLZ%Qx-2e?wk`FX_F4!o(8@#4&zx=9QTi5(o zerzFV&E$^(h(T<*n<$$^%iP-0Y8gR}XUcl$9UPg}IavxRsj^tA3H&e#>5XoBYp!q= zL^lCi3Pn{v1hZ(2M)ts6*%Ozvuv}lxy`@y#Lct(BZQb_kafGiN2?KoRRdid1jvAsptW59xYg}q=3+v?Tul+W z?ljF@j%_A7?d+J(S&4Fz+#9kYHf^a%=MHmjHq>rNh}1w>zg%0{yi-zUK`;^XK*|sc zq`vZ^e|~!zEb|0u2AOCcjKz1C$zy#$nk)P~*??4E$zCwG**B7Hp+8NLpAE<-&u)!z zi|_b8)su3B5ep%mGm>m)Y#A!un{W}A!`ot?1x3@YP2piR@d|IMR}BUsfU0QBd|k-h zwy=|Rm~DN7jW1=W^jx&FfS@SfEi$!505n_%LsGk*X9*WC)4~4_t!6y}PhJv3=x6J7 z&X6uDnxx?{?`x*ow5?Y)ozp-ZmU|Zp5v5 zmJMcGV|wSv83J@GMj-_g5(V;ik(^qEZxFU7O%dT0;!~jSSMxgw+`@+A;veRjG$z{_ zn%+tycZjS6Cx`^@Cg5=<@YkL>GyMprAGgd6O|Yjf5q}s*Oo^0_qdf0PSWNHOU=+j( zt{N=DYj|iZd`q&zfN9-Ug=2M#YpdfW;G#MMgpE7m9t0V{(rk>$2n94uY z%eAnm7#83c7MyK2s0mJ49VT~nc57!*J)(=SOSY(oh78X7-c@ghFm-A4)mk*> z8rZ7e)ZdWkAa$5w^Au2b7Xc=zMATa>GTIt+G^<92M^3_K`Smx5)W)H)#MK~@o8gSG zH~vE7gUjw`Sp;=i6FcD9L%rFPUzX>Rne^V2>`K&_n^d8Ukwv8{s6qru>%;>$-~iec z@At^eqK7G3`@6K7F1`YZSk4M#J*9yg8}4Ggz^R{e1RMo&Np8`o#_T;cigj8~*HElf zbwr=onDrF6+l?vh_(-I#Y?(0cohq{t;0=Vyh-_}7A(J#5!Z5DxNN_v z6Y(&4rtgGpp@1a>PVg!elv+eXRFPGi z&-s&8Mw_hFlC-wv6k3iY*bnh4G!_>ziPBtLqE*gx+k;F_=}MyjM>`$@d$0vxQY9oM zpJVqg!~XTlzELHHHtqE?J<-iNgO-*&v*bG?Nh5{4MPrBzYNYWhQh~fvxCokfDh*(b&dbtEs`bYe?(b#ExW_ za*+lJ>)69^VP7&*TH13ekgHSqa|i~3aT{O6>e+OeCFlG3_3LRNj!GiFcSlbSnRz?F zB^v|PX8B0O%dYOfhK_0HG9|Y^RULfb+ZiX6_~^e|z@F9|28$ zAh@g`kR@Yu*mP+mc?B$6ikA`Z=N$=9?y?1tn{ z6m;ATpVCvq=3T+fn~Y;Uh#P7Y8rw}PCI&*YbUuA(-^-f zn5X)P47;3~{GaWGJj~z^^Qfp}>0Xg@Q={B@i$yo^jc;J)+m^#VVFjB8ZGKb8ii%M# z{#hsOvj&?0NNDfqx@1CiQyLH~PrvW-U(&(y-l40m8$T4) z-?$1lT0%m{TzV6F+d}21YYea-0GU5qKIGo^ZZnW{#;_^I8+4ZO*qzhL?h=xCGL2`J za+^0|2TC5FdV`J#!PpRELC@-P%n!;^4@hi60n?HguA3eROW-)|?@|lrWeMEAU>{f< zbsPC4Wl8K~sgP1xkOg8$2kUts414v69_rkt6viXqLY}b(g1<=CpoLm$&`yJLf|g55 zdTfj}B%Y^j1g(+K;SPMQh=ofHe;%=Qi5o_b=hrIlC-yLJhT+f?u<>{rV`yw;i4}!VOL>nb!D#%d|RxH7#iLN zjd9YADE0Z8lo*;ARz)FoWL0I(gwM<-ym9-2lWggy-W zUOgIo2ryEZ*7LPekTx0M%sj$XEHeCtTuNs*>cH@D$$XR+{Z!71K)?99X7RAXc` ze~7N!FprB>8a&DI*!;(owrwDdJ{+?b96oWJz}@TZfcMl%634T?5^;-kdwy5P=WF+y zR~T?;HHTI-Ti!3TIn9$#0g?1TiTC})a-WkRo~Lr8j2HS%FiLAgY6@BH%CJp*vON}4 z8<7eXOhdDahs+pdU#9BU%Z1I>Y|vhE?A;9ohw#zk7yz+wmQl?!{+oJ znI!Q*bCfSouM6qYll5cig$2DK5fS*L$-k*Hflp2=Dp5?0G~z*JhoEsBY;L44TP6l< z>cy&Zu%mt9|MlzdxXl1KwVpO~nLgpgYA}+=g^MuxpR97)KhFy+5S<_PkWee?z(Qs@XffV&GNdffJ#&qCLDMV@)mgB{`-T{Wo3;#{4vTr)ORTyzGlMRH3~^eT z_aj?xzxg=?hgU^biLZ&OY-UVWZ!bHny+|_oIF8quhN)6acm;{>nyC}WR4LpDaI(t~ z4S{Daj-m;qKW%yKv02ckj?hmsp5CMtF|^W`+tszgmOd`>eR)0);b9)?<*0r+ z+#bcmtnCO|4WPz{c{l_|hAqHp0W+GOifGu~YB$aZ2QEd!0b>H}0Idc#UCjZUZ_#6O zc<`_AP6w_oGG2SKzvAp|6o3k9yyZRwasn`Xa$YfS;N}63_HVT7Wa1UE_MYt*^`&?% zF%~PBnvsf6^Qr=`{HUx5NPD=Rf+|gNmc4`wklvD@W(8mFBA#U-GGum8uJ;Ec90}iz z()uBKl3Bt&l`<#H5>fNyKAj;%>uVn8}(R!IOei`j+t-?8~Wnd<<^JaB^O| zTC!L!ENP==Wd>`qwTMU9ay5aG)uT`)V!M9-{g2=1n#KaF_&&79(gXw@jWsyB7((4w zprZ~NC+GkBN%kVpXb>Z48#DF}ILO&ud{l{_72gmQfs^^^`?;lN| zc~fl1L>}gqYou?wHCi=~;Jjql5a0}-6Tlk_kvGX=kmwzbTm6i=A>oy$Z!7qRY?{b^mvv0 z84)_3J#}K-Uc5^>ljTK+OF03yg)-j&Yv6*=U%7t${SS4tJ644Kp6Ltc?v&(tC@%}t z^p_JR$jbL<(=3H)(uNaoUyuC$O*n4=0bcP`5MDcEf*a;>$Z?HDfCWfoT5yl1y4j>_GAt`yvo zpJ+<+p6o>{6eNlewKs>kMUk(sb>cCs5A)T96qgAtmvGo;F|pKojcWc=7s4YMvpu%2 zx_koWa`lN59s$rr>(x;f*6F|Lchbi;$-ynL&a28-Ad+~x<>2p(F6y}%1w!YB$5Epo z`0+XC_{`$P--F}745k)=geHZCCOr%j_5~3saL?!X2%}GF$!vJ2tt*LwzMRE4Cqd+D z-%+x`v6sgAm^%Q~bI1}cxEzFYjCGaF_F&GUNsif^rf(XUE6kKhRNGjz^gRmrC2F{u z#*}5!tO)z~bxkAgGnTy0&9D}OJw@k*3x&MA41MPs+}#lzp7h$BAhz!Olj(te=7wg> z$M+5kd`HTyb92yS#!?jr#sx!4spkA$qJ;D%s;C%sWIGHV!SwuEe4N z6djZ4h;nH1w?tfymn`aZIs1SF@91O=U7vxjp6O9yj?B(vf-~XfcMc^(i#m!#SXH}*YEb{_)7NxtXZr*O&)q)Y>yVSE_2-vPONs&~$kEm!sM zi^!%w{uEI%=}E(pL&@4IjIgWqoDQv>kfDN?s}?Q0W1?>D85I#?u8e8)6p5!}Q3S=n z{o9{^{H`ufD6w5LljAWRoe}Z1b_dzASTiQXaNG0C4y&7nFTNY7_}u!HQ2mj2O2|v^ z&c8t^aB_fc%Nn!TF!;Htwk9dqUE{p8I?ZCy(0owSpGFuBMBpskJ8B_v^BXC%&qJtJ zjHdL529B4})5He{R+zPCGi?dneu&&F8&|Is)pr9nEBJH}d+oPB{*0zs$89kd^ylxw z^u`4h%tW9$98ZiC#a$B%W6;^@qHqN~8mS9d*rzV;{9+(UjH*odpS{kM4^S=dhPdEg zR_DqC6U|N@JyjR%eQ^#k1!ta_oZ)z<;*idOWKLtrnYTx@p4ndheZWWP+CjU!ra#%WCX(%7}geG6_YEK(7& z-w7m)%A*vpH)1lt=Tc%X>Z!S=1WDBENX-*2yV2Udv5-a!ux2KkdsLbymLYWS*$BgA zrBGF;yaZQECS*wK+GuC--kO71CVILtV(IdX1m0D0HWKn*u?TRFX&&wEJRJ!bQqh0v z7iF%lUX*@ALR#wmZfYtWz~CoUappMD4}oSh{d!BYhxE2SN?gX45^}!~?FfT^M`~SI zw--jk0nx**=_8cNep9zQ+$xnOw1fD_Bwi*q#rqQG?TQ1!4}cJHU2Ap-XeMthB+iJi>;tHXF~0MwLK$-=L>fyO(b(rB$J>C$m9sGk2z&XOSn$5N z@X-##EJ=2hZ{`PVkhjv|Wx#4GJ#W^(kv@3pU8;lMrGIoB;nVuv_~ zATbi1CX;TMM7<2lU-&$jU-d%emyy`rQg{(^bbxqC>3DGSMJZ-4?2~e{13N-Nf775+ z?vHGF&B@}XF@0kzm_1T^D2Z_B`IX||>J;Y2%uWxy%&v~Tr>14|f@RD+z0YL&_wRHW z{9WEo^uq;`M5a=RXFN#NB>?8(CP&)2?~C2+s0=?xjRxmnOadn@p|~v77~nSe%m3v3 z^N)YiAsR!NA41J`IszK2ooxCDd(yiiD{Bs4N?A?c`X26>pX35~H$-17lhH$?wwg0V zcCTM@5DADl7wzyGyeCH;I{2vUiCR*h79b$!b~ss_Lwi$Sfvf{I#<<6%{bzfSxK<0s zfTKOUuHt3b5d?#F4>Xp3bL;S(v8D^js1HgJ(wy|HEev2N~dXgWS7`Lmc@w zVAZSTZerR0?z^E-xaw;r-xU~0=1fkO{joXD`oQgGMiUsL9S67pxZkl`G>u~1fc&nH zgzo~D4<2jI1(Ks8%z9Y@Ay+;Qtl5jaHxLr(tS0wdH892*=A4S(HAjTkPh%hBRibde z%Av6j8PqyhV4P;Yyo}RWGZY%)}VO?!IrhixyiN-_vGk27f_9KQV$> z4>ei{zjx9WZJH!4^OOApW9DU@_L4zg?eeGwzVkMb*O5n_&@LT^M3+Sb8QgFYNl%P$ zSA?YGGL^WlH3(!Zy@y%`(e)U6BI&tMS{rA6lCFpv+nHtGt zP(3ZlCA z+_tYk$Lg`NkQ360KusG5rRFWX2>Xb-O3a~$E@M8T+!RU=bM7efYJ;J{K-zrJERQ)L z9}LF}ZVU})vpG2-(+tRY48noAGsLAO-Z?UI6U>M2$#gIYSfWhs83A4{ZUm?ct=!Yt zD=}es##NU$RjP)@B%~w{=fewqV%?&j>yT<}fWj{kKZ^U5V3!HX34^uy@O* zm*egnxCK?083?DD=n)m8?MZQ%ch0$zP_4XbYgm*KT32~OU~zND{7JX~@2JS;i} zJ?DceiwTj%_fYns29e9n`O6m{$kAltvP$$wi;rJ0M)NZHlJHFYJuBn!_zlT#rwM4x z)i4nVJzw`1;xw|VUrMlZJs%s-gv)La;F3I;i`(nANg~45&&JC0`(c9dc)Z<&&?-Fg zk-2nrWt%RbHNb+#Q3o8OY;96`e1%dx-xeHe!@STr9cThfbYh%%F&ha;)((y(*!_#q z!Uiv5YFJVZZL{ZMI>_$$LZYX_+`_gMrp*-;B6bb$C3=E}5jYAO1IsgQ3XyW3LH^$@L>CQIKOVgAe-n$?w!U*)KI0)U zX~CK>k2QtgY?x<_Ug?r@UdF^*C*fT9u;>f2PgYa>`B%4vzfkgb3D`v4H+)eI3R2G} z1udKte=W>Dr@hR7A_H3rGi$w)9*7wWGAbR0Kn0 zesd43G08lklq;D8^(8rmw%uzvX5!DCR|4A|PTmr=GOO_`@2!`2HFz{5p%>M-H4h9PX$c{mnfI(T zwq-t$NISi_pkyww(yolTys3?Bcr&qTK^wO_FgBUhYr%;c9j%FV;$<2aUS`v()+I1uV}KA{YN_!I^O;wok3xPj?uBxhw2iEIzf` zM&jSxoa~fJjI-JT6(^mm@-Pcbh#t8RfBpNfW*2W^vQeTQ27--6m#9&G`IKD2v+!k( zW&0za`xV2le!AJ?B8i$~osrwA4|#6Y3r}F>m?lX?;^5YVz&Om6T5ED17Y-B6i;6as zW9oLw+2q|8*II@1RgjY&V~mQ@TjmR3YO0CpnNP$qutSJ9gxxIS##n z=2s)4?RM5>gfeYt<>D_fIs)AJPUIlE8wH>|OctGMB_ancMe86kBz~qKA5oaAVwqNX z#B(BQIRj0CvL%O6U55$Y$Q~CIp8{bCBST*Z)Y9)1T84`c%|Oo2c#gtOWqe#% z3`K{tOr?eGxEz`{^?(nd;?geZ3{NV#_NFI2ncasy7KG;dax=lGp$$jh8Q5l+b3_}3 zPs&SWKEgg)sZGwU_(GTIFCbv{CD6WS)`iW{OuMLP+U?gBTfNy+9PHi@4ZuF~3`>>&u73on9sgg(q;G&+GM z(sY7eQOTiN+{}$u3*PV|!9m8iAGnNZ%RUTN+vZU0SLRL*@9L9lcTd>YkF3!MF zPOfFfMhnYvq|ZfIdMxtavZ*vAhz+2Dxn`ePY$`n*4HvIxHrOo!w*cn6#26$!GK8h7 zIc0<*G|dn?MG%zclm?yYlG&G}C#>`rez{4}WWGRL;WG6*(ok>$9S@ z%7)kaFvE@~qDtDU{Yo+7h<~#r&@_Nh<(-T0npXbCH!~5T-_w@a7;UgOu7<0wbX*T6 zz!iNyu)y@VmLTZba*x>gF^-2Z6!SbxjfZXD80H_ncg!Sz{zpC^JZb);NA7|a`2Tav zzJS3VVoEQFc$(kXg(kVkXwbxP7#S>8t~3FhoG~-mACsp(THp^2D^SqMsxP#bo|y)B zmU@m@`2AUTcODk@%WRH%EOIe>Nd$#!5sQ`Jqgg63Zir8mTsj+$ zr#bc4Vm+=}D-Mh&|CL7ISYRV9OE(j@s+yJwSi&OR^Ie~sv`xXl=K?j#-WAh;A;e|a z!*V|+3*!fKOy=(+yV3>pX(CFph2I+J7c@dpy?eF}SH#cheJAdlAIBQ3vYTgZKzj zK&c7KqN81wAwzclp%xM%vs>)#N2EJx_`qZnG0yEIdYqxVaFBdnim3D84STI0glDZ$ zx&6+%3_YAx&$L(WFhN^k2kBSvT$4_>E8xBu;@2oxmad}c# zEKkw}!Q_vI4CAivsfrJ#KAZjqXYbilwkw-%`MH-WZQGmebby|)p=E;$1`dJk z@j+P;RoH#!Yp-_{6HQ~(^ScvmTPHR`U#TyLp$K+PZ2`1&Ppv1p^)w5nK}M*3t4~8W zx|$;edOD5SZM2tAd-Lvh9I6chY6=B5TQk{jDT&pSy*zMp+!-eEv6#OVUCpObG;Q1Y z%6J_3^)d0ETsbf*u7D7;i$Yx0s?mh|CY-8ewDfq=(8vS{emYX%wguw9Zzp0IETY(#^OAi zch&|MXq0p|mx}O=^qZAvkR{OibQD4^x?kSdM6+EeI&a^TQn*Rd?(pwPXNqkimS7_x ztLmyB&(cq+#((Ebdeva5c#Rd^Zz4gHY-d=zhkOjw57A;UY?lGR&O7AB=qLi+aL<)G z_2ecujCh@dVW#uv>!RvlUEY0`97nX`UzbXAHsIjRo^00XkFLJE(`l)&6U2kD;;n4* zJ>ujVbCY-b;~>(}loq10jV?Jtm!dN_%xOEzD_puGF}PcYUiT`kUNRhhdWu9veV~)- z3iN>>Tve$yeF*zCc3ZxrPl zjS3$Ee$P0O>)Ql*a!!!6&1YKMMbso2O%&D5zF7H({jYbXDGhc(E=x&~a)*Id>&V5C zPXT+4CQJBgjSAMGG#Vp^>*fU6qZQp#QX<)jL%JEgGlaSFZhgYg>GzrXQ?>?|_%~o! zQEiuZLeOY*xn$tC7V$DCpPw!a=JtR8_b>H;jIRfg?LKlr(3O&!HXG2Wrf0J+PE!Z- zuDt9o*~UWKa_Vv_@53q-a0N<9PFcBV)kn#lo9+K=&)u>#H*#d%Id)5_OG=vmFt&Ym z0r^L!YWI2Qwa&wKF-Uj7U@*X)jHoQ{wB52g7_O%Y;-FW;%EqwEcMPoh>ly8^_2@)1 zoQx+_i*7-EFUCU?L6Cp^AdHLsv;&c#9OGG%;BFYuF8l~brjWQpbk!F*ZPgi>r#(#S znDrjnJ(sL!F%FqH_mdU(XZFkiGANDgQW03KNn;bYWU$Xsh}M;4vM`$ps2FyBy%$s_ zuL#t-$O|as^?=itCh71DM0bApFBb8p-+L945;!S`zVK&aMHepQX?-yNs{Rxgalw*t zik-n8zu(uqClLq-xYM@J0#OZ_P@umb35YYTZ$Hltkyy%yN40L+>rNSYj~cc0kA&BlL6Y7ixs2F+APTJt1H2A-(codbG}o zo_C?v7PVFHN6b!Cp%E5M{v?VAu9VC4%`9B%lV5+Y{qo_spOXYyjguzCO}Pq1Z|jo=QSRDfGMjO8qHIKrke#lH;jou{EH^(2}5$pN7+Q!{Hm zO*R9-;9;X-5E+F1*F$4w;3@$#1`M%nGK9f~1oaAT1mU~F{jxkBxxC;J>sSdsTrjsh zj4T-xx~bDTRt%r^7-qCjx70~E9#(n4QER&S$s8b94I=!XS2oyR3PVm#!1xnIpcf@7 z=aUY*vF0gw;fFT33tA z9-e$%oatA+ZV9gNpM5u$>}qp_ORBUz`kRe!#IF5vd4D$=sz-+S)|SlLIRO9t%dfip zEzTcjLbxQJ9l!q9e{;nls@Xk>wTw-JO`Gdq66PnxD~b=dc@lj0vS^z(g?e(#murN0G~`_Jv+h@LzBz>A)BK+ z;em>!ikW~}aI)s@H1Hstj~hUot1oW-zv>MVT{*(&u~V5=@|(nPiXLSwoqq79!UpzkCsh zC)e5)dA3>6+7>(iqJZ2n5^M|n9_)!nADV;rXez~^+LskSt|5>#IRV37hyp2 zIHI>!D!|k4>bjR`3RO>4h{@9?2hfI#C1bCjzgd72kciQwJT%zrL~ZyB(wOd`pt*uh z2NL9en3`8^5UAk||MKYweLfSf-=ldQY;Hh=iR9N`e&x~+^RW7t%Y_FJe?Bs70Rsun zn6seyzA=i4C!oNI@HKtbPYI=Ue(R8){XRz$iHP=0@Fhhrve!E|Cg^1njkK85U|4)6 z9zvM80`eWFM^X}*&nfp%50P|Q$;^Jd>XEG{op{F~6;AM(d0{Uy5dlf_EJ>qH0nx=G zr%RWo8|8A*!2}#WDj`!4$uiR-({T|8(_OAhwgNW6A5$2_wx{VMeEVDaB%hE1)Ju{sK-b97;H4-VVi0;JA_Zig7r?KGTeH45%hwz zdL2R0AOsx%;%YlNHG$({upT;|ThGoDCaxa4Aqg&_r(RWRTJ_0xaZ6cRKU(3# zml^eS1AP=FVcitDroK`Q&5UJomcTkt>ub*%PS%}p(~;F}qQ+$L2Cs?qrJ+mTjwUj= zVfI{z)~QQL;-klZ-{kr~lZQ#5ybmt7$jhUQ#B^;BlUo z?S)8J-|=i>Nm8P<<-L+);^M!~fNjJ_fpHC%`al=ED1tqBhQbqG*vF#Jmpe6T))_D- zSO5Nr%!kUXSr$j&w*nOFLH~V54Hr3Ek=lo3c}Jm9vKY(VID%G%cYp*n2xF}c7XW4WkVfsQ@#FQTgi8(Bhf&vL$e zDZ$VYN>O8%YBVbhzd`(!>~=K9Jkg`63r?5dUVVxN$1n-^k10!rTZ88&&HCncwAcp< zgmvGGy8gp=!_k}^K{H_UY2#_?m-u9eK&rQPFRi5xA4KXi4;#0EQFD@gxv=6`F$ck7 zpB^Z}@xz!=xiSn+o(B&VE8V_+HDu|!PD2X zG^|)z_c}BHc*ZDv{=$M@kCImAiEXAOyyScXCRnD_IUXMPjzx<+0 z%Mi9U2XORtJrcn!5(3dQYLmwsDE2_^L10Zs;4e@k2+(j~rY8R&YU`JEqR2k#v{%a% zfur!{QI9+1pfKGEZeC2!l(|`oo;Z^F;#e32EvCS0%R_hewu!-A?P)cvZo8J{(d2^t zOYj@JR{H1f1;fc&6Nv7vrcqR3k2;P5T)M4O`Ryl?1SeIC&BO3|9SyV@zAaPb#7~@N ziLu6SzkG8d)aF8=`T6DI3GkE3q>FI+u1@IsMt%ly*bVj6X5TPs*pEwd2P0?75?vic zO&n!;th)qtY`Uk+aBk_MiN&f%k`n9F<`WR6X=7!Ap=p&zaJsueG^(t5k##VHJW$(6 z7ytX)FS=YrWIUj*Nw^QkXFJ@3XqN;1q%fFSJlr+cN+U;Ty|XFy%N5z$xdWR9hK`%C zHnsC+7L5PN3WI-~0R@vWvglZ93k}OQwHbX1CL;oK525qO&C5G7r%*?_ZU^ly>59LI zMW>AsGQvBMGBae)yK!x)qt5F~vP^}&Ith9$x)t9x@;7vO`wsflcq5WcWCZep#>G9u z=S$ED_Wtqu-COLm1{)h;A~e&fnGCy~nvk-aFM5(^?5Cr2iAct3RtwTIVcR@`J$BNZ z=0YQoRx{i&AMv&BI!FS)7w}jSF_t+43_X77-Ufcvny0h?hMbifNQGAM4~cP^UK%lde}$qj*C~yzIZER znrXuXOw?hnB~I8e)(i;$Pk<{1v-8Vg*C3wjKm z6=ru`?@1i@?wd>JXv%T6EPFh6--ynJT7=hqf~2pw1)HTaB!G_B;Z(TSt6$sP8XjLn_rNm=bP35=Vv|x zQ-_l;;}w6f!UxgE3KdpnyrjJ^?2L~iNSt$SBkH| zh1+JbW_fQHL74Y!9d54SXdtPzFf%O{2gO`sJ<>AA0Mz*HSFUG-AnQcGyf&D{SwY3b z;pl8B9-p!BrV3|BsC5#+k|BflKT}q&3GV+i=H{s%FY{~$h$2JuP~sf|{HwtZkIx}h zHReRy9}uO7QQ6^vxP18R)~yG-b(AI)xf@D=mN)F;*B(b4=H*JvtMNqU$`)uf%SxWX zvLFJ`Q4A>>R`JT{%y|5JnssH6o?(LuC!}a59vs%#)`LYPuJ?w4<|--kdMwsX8G_pL z1zOfue6U~=HprccIWDV!9bge~-NG(zYl~UPe;nun6Mp z+&v{%vO?&oDZQ!?uy-xKS;6(n(}pFJq-<6|nrEy+vF042%OeU(wXA_lMC`ho*e^u_ z6w6@=MLA+nkiNRQkP!d=s&rE@=S6TCB+<|#H8^Q?v{F50_*(o@G*jHJ5R0SAUJ?)r z3Zrv*InXOAT+bay!&0_MY&p%!Y;qlg2KsfvwqOHTc^DNzy0cx;3^zVM5XEelgTk47 zhBlIo()2!G-K7O1Jx5@ScyesUYmeo%&={QHgyfh-MGr^yK{)JQ1Fd@~dTvB-j34B3 zjo66pI1r{?4Q#Y(v8Ry^9wHF=j}Ur~OHho%DZ_Lom~`+)DJB!q`H11+oS!uOW1h94 zpzJmLw{O4da@_s)eksZHk`-CzzI0xt@tn3`EYb7Dypje4tC>IFLgs&d94){Rp58wNXD#fu^M>oo3N|V+DuU-Px5cee5q?(dZhwol|ckcNRDlLEv&42ri zOA`wC%cf3@tH0KjGhiMQ zRgfNxC!})3&%bJwDf%M}qftS;gX(Oe*aO zBKx9cAvpY+&VfO2=h#SSUrj_*V;=k!yhp-A;S7iYe#h$)_`ht zgrc3mJSoWnb97u`QdGW_=CWqeSOnEsGxM7I`^B3!Du<~%txuD9#F7#&`*?i@Q)PvTZqAA)uZ3W@&+B}cK|4Smrb?aDl5K%h z<*A|!i$=N!hujxRJ!a5iQ;;8vpR6&ZXwV+ZvGvEZeA1W?PaDp`HjyNUkIXj`bxTcR z5s3$@t0FGl4Z`dhz`CC5XF0xCF`Yu>p*82_$PgNbOqQMHY^jjK-WMz`U9fm=BKGi{u%YRj6s}x)_$vdkfiQU- z&y>f%I|NQ0!$3R-v2Ao1GoB3978iO>1tbMQ0_i&DS}Xh4?NA6dnq z>jXbjpl46qdmYyaDZn8&|6x)-w~cuuvtgahfUCpXxkAo{lo?@i8DwYS%a5mZifp>4 z`U5X*5o9@SOX;0SZ2U^&iLgFCS=v+)s5w2Jh*cC39*^*uXx|@jW;rYG`&(BCFYDFt zNdI6Y(d3AJz8(NaW90bVVxXc+vEzuB2recb?;NkNS8YH+@wh7nY^9O^Ff#^!P|&-6 zRQ>o2qKi(O)zTv#SAFASX)A_~cV5qzlSQ&6Nb4Cc_GS5!YLGlHl;!9!ei`V`g=;Hk z;bs#dp3QBZfAKlg*>f9t>`#W;)wkjbm_9FEi(+o^u&pi*nn{{d^F^7eOFf=4k_y9N zX9CB?tB6=`p4sSIG@KW}3u8ts9)#{-H_mJhYLpP6Fxa73oc8s+I1m8%*!6N!-#)_m z6l6rr>n?M@*hon+$5&poZ&VJJco#JTB$0fWCp;ebD&bMq_AE~T2=XAp#AIdU4`tb` zgBrkQRq!c{*$C2xKz9o*YT*}DGPrJhU>#v5kB8z%+PCJ& zxw2`11FXmLFfef{dtVZ3%u5tQe4gOJPS8EkSC7W?io zGn^$X!WMA96A>*73y1Fs8l)VSz~47CwFp5p|6Lc3G0gcsNwFy|gTw0JT3otaoIi%Y zKvsBN`R#Yoy^`D0wD}|;EQX5;mF3lUQiZIp&QRk&7%o+cT z)V)4o3UVaGaB899c6e2Tt)82mKDz*~u4q~9SY+1@)(xKrmDsm^Hw{7)Z*I`O8l|<) zwlCS!OyQ%W#9EePhG%}sMzdE^5N==8*^r3s$&fZi7o zVMbPF=RZ12a9lBZJg6L)G6S$nd6L|d#npCAP%Cv5e*dP+O;vY)*IL$VbG{`_2P}Rd z%DK^8@VCNx1~NaW`7<#p!6=Uka%UjN!`X8B2eNb@WYqc+x69VkMFGv3m)(QMFo{A( zf~YM-zos28SA`p*0Aje6lz2$Y6gP;QX^T9H7@UY*eJA~9{wmdJy9H@FVM8@Be35W> ze>AQc&3oCG&gx{{TGM`as4!<>7(l747}jYk`A?cLd<@+QZH%Z;cS$s}O*DB?1Pxf_ zdYs&>oCpfWXuu?D&5*P20ED?(4e~tf=`0ZEs@CXXG4LuDn?LXJIV-WA?cIK8NS)Ch z_ze1hIs!?e_aW9(P=ARU10=$HM~6Mm_PDfkX*!{&Cte>-ZN|epI#a=8F2bHn%za6- zdGu((EIoMITc`r~^#AVB!;*#W@3%7HoTnRs=k3h?=}u!(m+~<8_3Q7y>2mNT@r3Q> z^$vaSAn)jsZZWd+KKTn*V;(*mP5_}VCv$KsHfiB~D<;qRX$yvYL8U%KYyxdn_)==( z*4QeCV$!PGfwG0Va0Q#SNg~KwN5#&F!#`LOXxhc&^r%41fhQ`qXdmuy8Lg3LZTVuetiL2c$Y;jIZf168dH~VRjqB5X#nznGNq)BLR3&4V2 z9|sX|@jCHFO|#-t&WFV&(PW$DT7@f236jEDofs5Aod-^YH!w*_nd-3OKzwbNUGcv5 z$Ax087>PrBGYFJV%qj@9II>rG~^Ed1ih+op%UNZFW%g8|Xs%Z@= z|1x{x1L_>Y_fiI?b@3==J6>KlkbP64n)Jm$6yZ-)Sk0b9=FctG(d(&teQ7iP<-0MR zC-+hM5TD{wFUTbtMt7XbE33W@n;sX@&~Q73Vl^t@(ecdUl!%=cT0+QlG0PyR)+e6C zPcP<2aX!lkc&>z_-q#PrP8N8>h)5}-34Qqh*udK`g*iu<3w~S;8qukY-?O{1Ct?B!M@n+g`%WPbVS$||2)KjL@38TJIBKV0w7wa2`^6_i7+5Y z3}?Ja3H6BSHA%x|&Y+xv-V;0LqTLR&h`~pYXcNMaA3bb2(3Uv(jS?16v1Idtf@1gx zF`DUKJ)4i?NtL`NAdg0oro3b^;tOqI}F~;Fu_7v zjX6wVqQ`|T0ibj3k!Z)a9p^DYsbUPxV_&cZnE5?x(n*baR{9y=!;nPwQsy86yrvKQ zN%Sel{8amOJS?4A9^@L%__L&72V9)jSR<_uFJkc?hF8&hMS^>!Y7hJcdFUL6B+E*6 z&&z$;Gm-UWSvhC4kCl^gcsyjOFxf{?f#}KTdpdt9yd0sT9S{G5t8E6QZA%8e6fz|A z$=L?TVA1P`I52Ug7~MsCW3NTs*a7Cpf!YaP9?TY`c~(4wcqcXb$?y~rVkdB%GZ_uD z2aJE{(xQJP6GRSez%Z|JG-XT&PX|PQIU!IJ8m@(pR_c+l44_;mrLOV!8-(m!LFM7Q zdF>=F7f+m9TcJ(1AY=>+sL7FdGm69Mi?EJzZV4nW4EtSr7i}FOI8E;aqlSTN8TNFB zczizq4ph|OiVV)J9zP<;XkY+#viJP$kKehVnfJA(sV8laoLfH(MOW8ia>o_t684phf{RvZj(c zCoc@|Oo&aHTY`1hxVa~&iODk~cC$Q-8D!BzXuWK(O-oV?46!f#p1wRXcxY?7@qP80 zSI~ZO6Ol4Sks_kKNrmxRhrpX+HxV`i+T8o7H{ly6=Gz}!?^iba_Q!9s@_PRMhYwaC{?t(@ z!+jLXH(9@lDn~FrA4Z)=y(V!vzYmb#d3z!lJHahf}{fSU8zY~ZQ=SCJ$W~ca^ zLHa^8Eqd~i({+`eg?@UZL9#zC&AgS0S~3cvrn%^L#vu3kqw{71?Cn8T3p&fv53BWj zSB0CWNU<4qFN73kB_f-lcow=GK?r^u zgmyVv`K@|(o3a9W1aVAs;mRdMy`X*R5ecVNW`xMv_pkCrMC{=pAHDk$ z$mA+OSiZ<)TZ4e@#)3q$AsVrf(GO;(ir)CR zlWtE|>r*^l8>3lAgDB!Gt{A2--wg=O>U6}sWF?8wWs9Th~Yvf2X_hPJ7H>?b{_AT*`bUlY2oK+ZU>q2 z;j6xvtk<->V36duup69YSTkcMBD)WvpR~)DUH8uWq_`4?U38;l^a>o-$ddXGIaP>v@)NOgxK9QjSP0RZtxX2y_uV2WI9G;IU#`DShGZ zy~nlqWPi%R;m?U6i67K$@Zu~F<`Hc%PXg<>WN>gw=if2DAbB=V{vJmMBo0+sKD3Fs zVEcm`-A|w4A?%qM7@28UBflb^?mU1mJP`jdkmU;ESuqhYPe48sC2;zehOoSdzLwy) zM5*VmGulj5aj)`(D)svOo4jQ~wRb=<{w%CLV^btWZNrZzm1=pzE~Ck&s0<$) z(8mlgxHXEEGuR`mqzGcwrP2N^buDymdS;B^$wwKzfHSay64 zNCfxs)B{_`5zk;2DAa6NxSm-IV6EnYCW!>`HPo;lSb*m$jpGPqV6&SU(^+0gZLEjA z-xTGH(j?rZLkJoOn2lI)IN8ijzN{aL-@%}XOYA&w`CW{gC5WtE#D6aC_dlOZGIIU# z=byj-p)2yN?hiXelq0XoPuEf?E@RZMn#SZVl-Cq9ut+&dTE< zL$b@`FmdT`w=j|`x>2ehRgOPb3X?%rU!{THVbD8;-!IRIXC#N)KEj11mivLxlMxbF zYp{ByVVz`8p;JgR_(C6^>wEddUB&6@&54_44%~|4FqT${&nF7Denu zkp_e0>3{8UZtNzjewqhqAUuLMZ`jdYe~3m{@p|nBDPJhyduCLb>@Tnr(B?K{FYF?h zc-kz|T6FU%a}qPUF7kB+x1oF%G-u!0;e_X-5wuM--Es+10H@kVh4U2y{#GI9MhTxK zOd)GsT0}@6(d-4veBCxhc0}fVXP&h5k4w6)4qNyt*!2Ex6m#DVknr%o3tgM2IPqM? zisXn=kab~W;(wviECmW$VQ9Kx>kNrwMHH7}w%t;}0+#6Uj&_a5Nk52XB_b>dhb`lg zQA4XFON0E$#jBc|l<3GnBv*MAvIP-_8z_fTr5vGiI1Pvm1ze_ zWGH&c7#xQOTfp_gP$DvAN_-)wMxJ#pam(SLa*2IXeH6+_9uk{dEHCbIH75@U2)4K! z!L)YDczJY9=fi9fuFQ2f>w;E?qX;I!Z~B}ZUht}LX6LU8&2LPp+SMMa@kG)e2XX%# z06Yq0J@6a(8E#HY@Wu5|t1&h{;h;3!n{EPm5)$z4vSu$$V${PN8hch@HVm%Ab_;tV zA0CzIJtM{E5npoGkCQWFEy*Z<|&@jtj@x5Tun)`h!jE z`wq+nbzk!*K7mVf!TxS(jo%Zx~?riuF+{|*NIKmL|1}LkG^TP(Xd3oTm0Vp z>!tNpJnx*}3a!K1$D{Rel-j(2wf@poupY7NZXJNNk!NI%yoq2*7ZBn6>O@an4J9y; zI3!2ixXS9051rH9@lqvz4X7 z7L*Aq+g*OE56Jy$YaA3sbdjZZPNocJ&P{RU&WomnH`y&`D_pwSgA;9&GB=HB7l3O#=|}v?(9DBplhs04MvsFQ2V#5PmqV!x z5)Y161l90*7*}W_FS(ZCO)Op`qK1WOy-y2@u!rM`ks6uqq9B9TFKs@M|`OCEVzJS9XV*=FU&{w6P;4kkT*iF0=1J#g6RU1wh)kLi+2ym)kB!5J8HND`%0K<2I0OqyHj zunIUHm;ze(<04zUrtDmnkee>Sc46heUV2RQ5+v8;K3I0zgA%>*$kLpPbQ&t5Ynx={ zcs#j^-JTS@2f6&&fH1>+TbR0JrY`e$*Q%%nb7hH}#g zX$`fR{D*Xj0kUL7^PA$KVHR6kXDm6?410nl!w1V=L7PElLN)M#-+St{t(oEWHXFJ&*`NPkz8jR7F+Kk40P%7}0GU!? zp)%kG!99zk(NbkwX93`SsoTupok=rY-@P58z}rjDZd3mxfkw@Ma%C2tC{T}8Oz?9>#-=Lg3>sk8_oWd92T<;BRIRDpd6dVcG5X*HAj@2Z2&!EO%=a?=kve-n{mN$Bm&Zh zmxXuxk)tDH4AH^r5g`{R$g)RQ0iR}Ga9vNw*5~P&WF;6Q1#m&=X&)>p-eVy3zd9P# zz*@aMuz20a7SV7e+Wf4h&_^E$8mR`FF7sMEyqt`rIyqW;UJ3veM|wNi zT%GZohD#o2h0&L)+AOkQt4W`~@4xFJ)%~(d6rH<3bB1^9-o7`2IrYVn6&%&0Nfatk zQY~c@r?F+E4z89$4J|{@z9LH@hs3gLmrVtYXwT;S-ZnuPZO+uroCX&G;6}ih@*Ubc zlg)JP)Xxx$$CHCxsi?YIOk`I6)bk|A@4DY%flDJQOwt_9@B_(5 z>#3K$RJSC%@syCdLQ7F^N?@YP%lw9flT2pnjac`}tTt->#suEGJg?oss2|>TB9hri zC`PM*S3PTHQ-E_;ZaoB;V!g9kj2_;1G+&e$zh}1{we1qwqQp!Wh3j}7G^}1$m|?Pk zw>|xT)~~Wa#J#HAJJ+5Yl~fSejmsZl!i=?|+4Y!ciu-{llcJr=T>wHB)ftGa$x5R< zER|AC0upm`qz4fPrbVH_urM@7!6M?j#kH*zpD`$H15uAU(q_Z-8-gjOq}M8CjY!_` z5S#XXRqDu`1-Yt-9pgfnFU#ik?nX*Rgk=HmEOKCQxOF!62q0I$G$YjIyunGk5b_m* zgQb2dk*7<<=1XCnX_D@BLUTQkXACJ?C_b+A)j5Ju#OiA*+LVDT+(>9RNw`Rj66*5n zeMx051ivqmauMSRE%I=NrWu+B(_>u|pGx)HV{Liym>XnHRQC7j3{tDYS*@egnWN6y zTvD^!qCfB7;||i>*m-?}==tfOrYV#sxIJm`7t6xuA>6%FEoqed$CS_?M$C&YyMgh9 z#*}P|De2RMWTI8GEi2Dqz9fC@H`C}#;1+mw3>Iu zYx%PDx#cxTj81aFuZh6N^D=8Xnz!|_c?PYy$>6aS;SbnTpZa&T-tz5I^DSo250bn$ z%2VrGQH=qAe#Tq2v!3xXTBk865U^&RSt2mE; z`EE>5N}dQ;k#fhz6Y!0=opqS$5$>60w)P62Bq#SZ84n28e!h8ZsPD0P=AcGKq%)<5 zvL7W=D!J=Q@&t3RU!P@=`C)*@@WwpRAL!*8vpFv0P56W@y}at48+fLddQ*#P^+2X^ z>r>dAPl6Y!!7u(c<)!g|^4%!Gb(HWUwXP3swJhqTI{Gc^Avh*YD$KJr=#W>uXgpTN z!k`cO2P#CQ9ZoU{!5`1MdOR@aHBT^j?b(LIA$C?hP~a=3;pQ)t-w!~e;y&3n$tv>` z*m@pInK3YZz+Hs^>IlgrVD645I^@r{`pYsw zjt$*|Hf4>e>Vw)Im;1`8X|8x1ZAGZ+=nQPfqVVVkj)67%SlSQDSUVGNakBBd+e`)) zYlA#DDm6$z`p?&cxLG|P$MCRUqn6_bK9t5NV$Zl)*kxMq{6-`SpwX~oJkK)Q4Cn_4 z9!L9W#?Ttc4KjW_Ucw5K*|X~|rJN!kDTN0Nk($M2&a%V&*LX?`gLpjjyM5!r_9>|2 zu-KjLNik;r=SqFw|NQGuE`jpp=R<-TAQlMtRLj}KF=|mFCFAgrGzl-L2G$<_U!w-i zf;mKb#KRrqPZ~7zX;Da`3GOJ7%WwdgTw1Cm5f4Llhw}a7njv)b1)IA8)Wf7u_y;n6 zYEUnu{N1}E(&h_u49%N7@}sY8(evhpM{8m{O`!KL%J6e76nJgUhE_Ypf`QSg9-y~8 z-`N+r--82BuFlf>)SNup4!C>y;bhF9Ki`wR=H-YQuLe#SV%k=5F-rq0%3w)Yyw=T} zAky2UWk>y-{R2;7pO9~?0}~)&O}@fW;};=2niBwlF(r2D2N9oYHtxB=U>v|KzJ$6-w{`-slO?vabzpjT&TZv zC6d}8vHN(`isJEm)WBooAT?v<>_UP}$#^2?h{;Mku8emEE4pet z0q!>8BZ-d!YD^?k|Dn}h+j6pu$XfSt5w4Nc3g)PI@YZqhKJ=P0CHD1rAPJfPuEhvh zg*+J}HhE0d4(Y1Uamo%goM2n1I-@DEMq(PN%AjeSg+@d~6y>JJO25yjixr74;ahvwlVmVl8^E6d(ey2sNWl3E1f7%D6ViOGmvcdI2|8^W<6e8XDnsVDgQtmJmrd@D?mx$@TZupqe!J19HO|w7G2PC&J)=t&zsETcJ_p%u1vJ{?5J9m9%jeds zx%oTnvA>+k5@*wsLp-DP359#6~lex?uFr=+NG?JFF|Iwori5wQLHtlFlShIRs57wia>*> zTH<<%3J&M9UGu&Vl^RadE@)M}c!*^P61EAHZHA;WNjj#xbNP>CS^~JEzbB|UY2`DR z(CU@rW8_?MzBHEgCP%y&4q?D2CqXpCgUs#^_XCIfz8k3W`6a{>5uLQIDGL*ZtRIfn z^;D4*J867e0^xbHf}|#6dnv?D)$@6Oq5wZ63zBU?XA<*1VNWg>FLWt>x&?Sia+lHE z9G4pl5XY3+mS@-Gl$kNrl7Qfi;SbwfN%8{TF>r2&52JcVce<3ul(d3DM|P%ORF-nl z6Z6))c09~i>fq;kGIR&l&(G@6ecG*{@)IxqZD41g)g@NN_^Wky)!RQCTF+bF9f&4| zvHr6}lI)X#5Tq6Xew-@E@whV6(~I@sqTG4EfBx*Dw-FbrlpEU{v3A_wB$I48u#zfY zZbexJH#b4~_AC=y;Ew^c$icr8;o#^GyXfpRgrlTU*+kHw3ma%5pBI4uKV z7j3=KtkX)+17yXzabCSFYNXLIfipS*EF90F=`^NB+4-Wp0E3^X2H6@ZWxLpCa@dl6 z=6G<-t}N>olD3eR^KmJj*`i|slS|Oaa#o+%S20c{R;~-;z}~j}zMDRyJV`DfX zHxnBf3jPvfrvtbF6rk9bdEsZ;1hmJK;=;$|&L0f&{UlmF=`^_3im$OmauHoCpA#q?)zdJkpCMo(TxbT#U1wGWb@a zpJeZZ*)DAQX{9p*ykYQuN6Gqp-%CR-MoWQ_RSa3k*Sb7IHUI58FhTy$7P9~{a+H3W zHYo}n%;|r^Y!VndajH<8!FgD7Rv0fr`M~sCdbRc#$R4P^pnwHAOH-9as|Mi@*rqe{ z`yEJ>`aq*buS$ku*1P2#H&2FB0%O|6MahU8j!hZN}_$WGNdqSliiC82UZw8e3|L@-oK)NEq zY*Ep@!*1oAp<)Hd;<0#hyS#s1DVw{8-ggj2(dc~mXF0J&kY2>N_1(xRu1Fvm&UZJ* zk%mFtNyvkU1p#=vVj`MqG$?PBEsm0*v7_PXjU%L=<@%g42_M4HWF5Bp&NPJx=kK1= z;!~6K%D@1+&uA<@K$n;a4YQ%D2n)9H{yGmcP~Kv2P&ndP_Y%m^y(k2Ph^n~w=As(8 z%N1g{6B30!@=mrb(4N1F(r} z000tjx)na9IBhbIlfk|#jPm(-b6Yl;94sacWo&6G;fl0WFA0m1gUjRtiP)7C0YHuq z&E9saG5`^o7>Hv_cam;zAo9RgZy96+D`)(F|ILPI*hFn{K}GyJqCa1b@KtG2=tP<| zMBz&IN1@inK${%F!Rk1c&0YX7$%}}mn3Y(C7lmXog={GfT!TJ92Jwg@5MGf9b!K^J_;&833Tw%YQ=r{WaQg^(QrAwEPypybax302^5wrj&M>zHP#AE7>>>v}j#Do= zm+7cK4$ntp9}9xx@_pvVNV;awLsKV|02|qoSnPB1F`mT{PE_4~S-?_Aco1nEnVl1Z z>rn4f&Q3Ai@L4E;RcK7&>5rAV4pN}l#nKyZ21m9Qx!{K5T1SwUtyB@+CgqSlNXNtN zt-9t9>ct;SWcOydCigIIP)_V=pEpgVsxreBU#SoklrBl^@GRNm{A?DEZs=U zV_j(!$&>J1)srV~gLQkSy17Jjcc<(L|2hlD`!0l+B=T^QAjIoYkuu&minDZLEJUAb z5B3pw#Izuhm18wAUFduALDLE#A*9POP1tMw17-$cJxwcI#XBqW7xZ6Ocf(E$5&0<#X9*riSjI%$f|-07$0xgC zNqzZjtUwdJ-IhriRENil(Ud$Kk26y}maA0VZXm(L54~+M2Ml&r zU;*T1jOX!#JI})N^xa6^ZaksSOwKpt->?rRq0ib8F*2R|i{&w^Bz}!w+7yX@7+hDo zjeCdeXn-F1L*XPhxm!5nc*4zRCgDzZ^9T>d^MO*1a2d}tSk^lm^A-AvfQ&C1;9Q9b ztwmt>K%a+J%rP#E-xH7D*2k5Z!41sOAf^9oihyWX>%!-Z&C(P&oPLVgu+Dj8Sh1lq zM6zQSUo`}ggHKiTP#gGvFf+?icaK@))BlQfIL_c4KujD7N+jJj>abJq90d5kByCLO;2#1kYW|!A%O)WbxR^hEiSfSb&M%t`#n-i^?9UV~ z4+WeU8ImQQ0G|A77&Q5&!1#|YbR|DAszrUk#z>RcIxsBp`z@3wRfoknyx|FW zw*`*BEeTl)bH@-7i5Q`Fx_|!WAk7kTR?R&*HaT2`DGXPL77kAb+!;daI&kvhrUV># zLqq_;(K;v=*^*Tn3Tj&n$Aqk*$}pnF;y!lGbD)uD4I}26$a{Y0Q%Lz_St2lwF5E<)BlPcdoxe^YWX@qkI=ScRK zh%jF;^iTFpT{HPSyy>B*S*~}v1W<|hDW)8LG}Ic-B#&&e?lhZAwzQQ%hGiy{meIBF zmYTHiI-Fg%=5~+PLupp!W8}qd0k$l{Fej8bzYEBg@YB+E)8RTz!tK!H;{xEp)Fo3< z!T^M{20c1x2LyyVU2u+|-d<%+Drh@f3bR2ZCjahL^O$?thKsBw^d;@_)T77rKtO<= z9B}V})4*z7Se-%%Y60y0tj#1uFuHGcxpM$Sx2%04%itu8%50NZR0r=HxhjFZXZyeV zHN8ye&nTUhPvGmjVUQ@B^qK&ZPn(`~s=6Fad>i)7pr>`wr3pLV3bk4T)eO3c!%6($ z0MYVS6XV}^V{)XxVgAZ+-rdrLMSLpIoq;sx^LL}a!&k63vfmRo6z(0C#B)!#DPeE* z^Ar^tTs+v70C=s`j3f|c_*HL71i5m0;0*Z+$m;k?!rN%82Wi5r@>|`Xr0zGg8u#7E zl6#I9!j+G~uVQD*QulWik5Tm25DS>%67BDXj{=UA_kJ2|*`@sQ-7p(9Ce%ol4E}(n zOB$6LJ02=w3sm^mQIHV1v<|sTM=O-xK2bz7+tw4kqu_n1D(f&^4b8bq=};QwlV?$l z=NLE#^iFDuk&VHJX$v7B%&}~^eN^JEw4{{k|3%wQ`NX+fK)A*{mP9$52}$8A^P z>#9g&Q8iD6?j~7X;&-<-bq`z`f=%G2KPxBKEomjeU!o_eUavsJ8ql^|eA!#>j}D}` zu;z1Cpo%uW?WI}|K3`m>b1ahGG_5>wq!Fw$>60zBfkza&xKcl_pL+(9(MM(>crnM2 zx~+x$y&^U8NZrkV(ne}A*tN#n?MnGFUBfs~-V_G`E?s4xP%BCxIlEuD?O6{Hfm?$l zIA0@eo;v(4kq$baLxOi@nYJ7)?qs?LsU~b?Mvkw~#0ZHP>k;A8YmnDk<*=_+$(O^& z8I%Vc{H}{dvxTot`&ZbSL5f7J(o^L8DX4UMJoUH-=uNVc(2QKu@D#|*!zY1)EIj1a z@6e>cT`EfSbSR6?yN*mXIy1UF&@Im*V zV(dHJw}Q%aB?{spa$&CL73(QsDf0<^;+|PR>P}QYj%W6wevHii)$wnz3%E&W{zLNr zkmX2zoV*15=(Oe4p99OGqtVk5YnAEYYLDzyi zqv^5S>?U90{N3muC2^(OZg@M-Tc~sK|Hl98!2j<$kWbeC;_3V`!Q1ka*Xn<*MX$X7 XlJbmi+$!?HF#l literal 0 HcmV?d00001 diff --git a/bootloader/SOURCES b/bootloader/SOURCES index f72c58a0b7..6cdcd41ece 100644 --- a/bootloader/SOURCES +++ b/bootloader/SOURCES @@ -89,6 +89,6 @@ show_logo.c #elif defined(SANSA_CONNECT) sansaconnect.c show_logo.c -#elif defined(FIIO_M3K) +#elif defined(FIIO_M3K) || defined(SHANLING_Q1) x1000.c #endif diff --git a/bootloader/x1000.c b/bootloader/x1000.c index 2c61773b11..3467547684 100644 --- a/bootloader/x1000.c +++ b/bootloader/x1000.c @@ -66,6 +66,17 @@ # define BL_SELECT_NAME "PLAY" # define BL_QUIT_NAME "POWER" # define BOOTBACKUP_FILE "/fiiom3k-boot.bin" +#elif defined(SHANLING_Q1) +# define BL_RECOVERY BUTTON_NEXT +# define BL_UP BUTTON_PREV +# define BL_DOWN BUTTON_NEXT +# define BL_SELECT BUTTON_PLAY +# define BL_QUIT BUTTON_POWER +# define BL_UP_NAME "PREV" +# define BL_DOWN_NAME "NEXT" +# define BL_SELECT_NAME "PLAY" +# define BL_QUIT_NAME "POWER" +# define BOOTBACKUP_FILE "/shanlingq1-boot.bin" #else # error "Missing keymap!" #endif diff --git a/docs/CREDITS b/docs/CREDITS index 7dee2ce527..613aa1f660 100644 --- a/docs/CREDITS +++ b/docs/CREDITS @@ -706,6 +706,7 @@ Caleb Connolly Spencer Brennessel Dana Conrad Albert Song +Marc Aarts The libmad team The wavpack team diff --git a/firmware/SOURCES b/firmware/SOURCES index 2414682bb4..e055558b57 100644 --- a/firmware/SOURCES +++ b/firmware/SOURCES @@ -503,6 +503,8 @@ drivers/audio/pcm1792.c drivers/audio/cs4398.c #elif defined (HAVE_ES9018) drivers/audio/es9018.c +#elif defined (HAVE_ES9218) +drivers/audio/es9218.c #endif /* defined(HAVE_*) */ #else /* PLATFORM_HOSTED */ #if defined(SAMSUNG_YPR0) && defined(HAVE_AS3514) @@ -1716,6 +1718,15 @@ target/mips/ingenic_x1000/fiiom3k/power-fiiom3k.c target/mips/ingenic_x1000/fiiom3k/spl-fiiom3k.c #endif /* FIIO_M3K */ +#if defined(SHANLING_Q1) +target/mips/ingenic_x1000/shanlingq1/audiohw-shanlingq1.c +target/mips/ingenic_x1000/shanlingq1/backlight-shanlingq1.c +target/mips/ingenic_x1000/shanlingq1/button-shanlingq1.c +target/mips/ingenic_x1000/shanlingq1/lcd-shanlingq1.c +target/mips/ingenic_x1000/shanlingq1/power-shanlingq1.c +target/mips/ingenic_x1000/shanlingq1/spl-shanlingq1.c +#endif /* SHANLING_Q1 */ + #if defined(LYRE_PROTO1) target/arm/at91sam/lyre_proto1/adc-lyre_proto1.c target/arm/at91sam/lyre_proto1/backlight-lyre_proto1.c @@ -1948,6 +1959,9 @@ drivers/axp-pmu.c #ifdef HAVE_FT6x06 drivers/ft6x06.c #endif +#ifdef HAVE_CW2015 +drivers/cw2015.c +#endif #endif /* firmware/kernel section */ diff --git a/firmware/drivers/audio/es9218.c b/firmware/drivers/audio/es9218.c new file mode 100644 index 0000000000..76d387221a --- /dev/null +++ b/firmware/drivers/audio/es9218.c @@ -0,0 +1,226 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2021 Aidan MacDonald + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ + +#include "audiohw.h" +#include "system.h" +#include "i2c-async.h" + +struct es9218_state { + enum es9218_clock_gear clk_gear; + uint32_t fsr; + uint32_t nco; +}; + +static struct es9218_state es9218; + +void es9218_open(void) +{ + /* Enable power supply */ + es9218_set_power_pin(1); + + /* "Wiggle" reset pin to get the internal oscillator to stabilize. + * This should also work if using an external powered oscillator, + * although in that case it's unnecessary to do this dance. */ + es9218_set_reset_pin(1); + udelay(75); + es9218_set_reset_pin(0); + udelay(50); + es9218_set_reset_pin(1); + mdelay(2); + + /* Initialize driver state */ + es9218.clk_gear = ES9218_CLK_GEAR_1; + es9218.fsr = 0; + es9218.nco = 0; +} + +void es9218_close(void) +{ + /* Turn off power supply */ + es9218_set_power_pin(0); + es9218_set_reset_pin(0); +} + +static void recalc_nco(void) +{ + /* nco * CLK * + * fsr = --------- * + * 2**32 */ + + uint32_t clk = es9218_get_mclk(); + clk >>= (int)es9218.clk_gear; + + uint64_t nco64 = es9218.fsr; + nco64 <<= 32; + nco64 /= clk; + + /* let's just ignore overflow... */ + uint32_t nco = nco64; + if(nco != es9218.nco) { + es9218.nco = nco; + + /* registers must be written in this order */ + es9218_write(ES9218_REG_PROG_NCO_BIT0_7, (nco >> 0) & 0xff); + es9218_write(ES9218_REG_PROG_NCO_BIT8_15, (nco >> 8) & 0xff); + es9218_write(ES9218_REG_PROG_NCO_BIT16_23, (nco >> 16) & 0xff); + es9218_write(ES9218_REG_PROG_NCO_BIT24_31, (nco >> 24) & 0xff); + } +} + +void es9218_set_clock_gear(enum es9218_clock_gear gear) +{ + if(gear != es9218.clk_gear) { + es9218.clk_gear = gear; + es9218_update(ES9218_REG_SYSTEM, 0x0c, (uint8_t)(gear & 3) << 2); + recalc_nco(); + } +} + +void es9218_set_nco_frequency(uint32_t fsr) +{ + if(fsr != es9218.fsr) { + es9218.fsr = fsr; + recalc_nco(); + } +} + +void es9218_recompute_nco(void) +{ + recalc_nco(); +} + +void es9218_set_amp_mode(enum es9218_amp_mode mode) +{ + es9218_update(ES9218_REG_AMP_CONFIG, 0x03, (uint8_t)mode & 3); +} + +void es9218_set_amp_powered(bool en) +{ + /* this doesn't seem to be necessary..? */ + es9218_update(ES9218_REG_ANALOG_CTRL, 0x40, en ? 0x40 : 0x00); +} + +void es9218_set_iface_role(enum es9218_iface_role role) +{ + /* asrc is used to lock onto the incoming audio frequency and is + * only used in aysnchronous slave mode. In synchronous operation, + * including master mode, it can be disabled to save power. */ + int asrc_en = (role == ES9218_IFACE_ROLE_SLAVE ? 1 : 0); + int master_mode = (role == ES9218_IFACE_ROLE_MASTER ? 1 : 0); + + es9218_update(ES9218_REG_MASTER_MODE_CONFIG, 1 << 7, master_mode << 7); + es9218_update(ES9218_REG_GENERAL_CONFIG, 1 << 7, asrc_en << 7); +} + +void es9218_set_iface_format(enum es9218_iface_format fmt, + enum es9218_iface_bits bits) +{ + uint8_t val = 0; + val |= ((uint8_t)bits & 3) << 6; + val |= ((uint8_t)fmt & 3) << 4; + /* keep low 4 bits zero -> use normal I2S mode, disable DSD mode */ + es9218_write(ES9218_REG_INPUT_SEL, val); +} + +static int dig_vol_to_hw(int x) +{ + x = MIN(x, ES9218_DIG_VOLUME_MAX); + x = MAX(x, ES9218_DIG_VOLUME_MIN); + return 0xff - (x - ES9218_DIG_VOLUME_MIN) / ES9218_DIG_VOLUME_STEP; +} + +static int amp_vol_to_hw(int x) +{ + x = MIN(x, ES9218_AMP_VOLUME_MAX); + x = MAX(x, ES9218_AMP_VOLUME_MIN); + return 24 - (x - ES9218_AMP_VOLUME_MIN) / ES9218_AMP_VOLUME_STEP; +} + +void es9218_set_dig_volume(int vol_l, int vol_r) +{ + es9218_write(ES9218_REG_VOLUME_LEFT, dig_vol_to_hw(vol_l)); + es9218_write(ES9218_REG_VOLUME_RIGHT, dig_vol_to_hw(vol_r)); +} + +void es9218_set_amp_volume(int vol) +{ + es9218_update(ES9218_REG_ANALOG_VOL, 0x1f, amp_vol_to_hw(vol)); +} + +void es9218_mute(bool en) +{ + es9218_update(ES9218_REG_FILTER_SYS_MUTE, 1, en ? 1 : 0); +} + +void es9218_set_filter(enum es9218_filter_type filt) +{ + es9218_update(ES9218_REG_FILTER_SYS_MUTE, 0xe0, ((int)filt & 7) << 5); +} + +void es9218_set_automute_time(int time) +{ + if(time < 0) time = 0; + if(time > 255) time = 255; + es9218_write(ES9218_REG_AUTOMUTE_TIME, time); +} + +void es9218_set_automute_level(int dB) +{ + es9218_update(ES9218_REG_AUTOMUTE_LEVEL, 0x7f, dB); +} + +void es9218_set_automute_fast_mode(bool en) +{ + es9218_update(ES9218_REG_MIX_AUTOMUTE, 0x10, en ? 0x10 : 0x00); +} + +void es9218_set_dpll_bandwidth(int knob) +{ + es9218_update(ES9218_REG_ASRC_DPLL_BANDWIDTH, 0xf0, (knob & 0xf) << 4); +} + +void es9218_set_thd_compensation(bool en) +{ + es9218_update(ES9218_REG_THD_COMP_BYPASS, 0x40, en ? 0x40 : 0); +} + +void es9218_set_thd_coeffs(uint16_t c2, uint16_t c3) +{ + es9218_write(ES9218_REG_THD_COMP_C2_LO, c2 & 0xff); + es9218_write(ES9218_REG_THD_COMP_C2_HI, (c2 >> 8) & 0xff); + es9218_write(ES9218_REG_THD_COMP_C3_LO, c3 & 0xff); + es9218_write(ES9218_REG_THD_COMP_C3_HI, (c3 >> 8) & 0xff); +} + +int es9218_read(int reg) +{ + return i2c_reg_read1(ES9218_BUS, ES9218_ADDR, reg); +} + +void es9218_write(int reg, uint8_t val) +{ + i2c_reg_write1(ES9218_BUS, ES9218_ADDR, reg, val); +} + +void es9218_update(int reg, uint8_t msk, uint8_t val) +{ + i2c_reg_modify1(ES9218_BUS, ES9218_ADDR, reg, msk, val, NULL); +} diff --git a/firmware/drivers/cw2015.c b/firmware/drivers/cw2015.c new file mode 100644 index 0000000000..705ca16e22 --- /dev/null +++ b/firmware/drivers/cw2015.c @@ -0,0 +1,191 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2021 Aidan MacDonald + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ + +#include "cw2015.h" +#include "i2c-async.h" +#include +#include "system.h" + +/* Headers for the debug menu */ +#ifndef BOOTLOADER +# include "action.h" +# include "list.h" +# include +#endif + +/* Battery profile info is an opaque blob. According to this, + * https://lore.kernel.org/linux-pm/20200503154855.duwj2djgqfiyleq5@earth.universe/T/#u + * the blob only comes from Cellwise testing a physical battery and cannot be + * obtained any other way. It's specific to a given battery so each target has + * its own profile. + * + * Profile data seems to be retained on the chip so it's not a hard requirement + * to define this. Provided you don't lose power in the meantime, it should be + * enough to just boot the OF, then boot Rockbox and read out the battery info + * from the CW2015 debug screen. + */ +#if defined(SHANLING_Q1) +static const uint8_t device_batinfo[CW2015_SIZE_BATINFO] = { + 0x15, 0x7E, 0x61, 0x59, 0x57, 0x55, 0x56, 0x4C, + 0x4E, 0x4D, 0x50, 0x4C, 0x45, 0x3A, 0x2D, 0x27, + 0x22, 0x1E, 0x19, 0x1E, 0x2A, 0x3C, 0x48, 0x45, + 0x1D, 0x94, 0x08, 0xF6, 0x15, 0x29, 0x48, 0x51, + 0x5D, 0x60, 0x63, 0x66, 0x45, 0x1D, 0x83, 0x38, + 0x09, 0x43, 0x16, 0x42, 0x76, 0x98, 0xA5, 0x1B, + 0x41, 0x76, 0x99, 0xBF, 0x80, 0xC0, 0xEF, 0xCB, + 0x2F, 0x00, 0x64, 0xA5, 0xB5, 0x0E, 0x30, 0x29, +}; +#else +# define NO_BATINFO +#endif + +static uint8_t chip_batinfo[CW2015_SIZE_BATINFO]; + +/* TODO: Finish implementing this + * + * Although this chip might give a better battery estimate than voltage does, + * the mainline linux driver has a lot of weird hacks due to oddities like the + * SoC getting stuck during charging, and from limited testing it seems this + * may occur for the Q1 too. + */ + +static int cw2015_read_bat_info(uint8_t* data) +{ + for(int i = 0; i < CW2015_SIZE_BATINFO; ++i) { + int r = i2c_reg_read1(CW2015_BUS, CW2015_ADDR, CW2015_REG_BATINFO + i); + if(r < 0) + return r; + + data[i] = r & 0xff; + } + + return 0; +} + +void cw2015_init(void) +{ + /* mdelay(100); */ + int rc = cw2015_read_bat_info(&chip_batinfo[0]); + if(rc < 0) + memset(chip_batinfo, 0, sizeof(chip_batinfo)); +} + +int cw2015_get_vcell(void) +{ + int vcell_msb = i2c_reg_read1(CW2015_BUS, CW2015_ADDR, CW2015_REG_VCELL); + int vcell_lsb = i2c_reg_read1(CW2015_BUS, CW2015_ADDR, CW2015_REG_VCELL+1); + + if(vcell_msb < 0 || vcell_lsb < 0) + return -1; + + /* 14 bits, resolution 305 uV */ + int v_raw = ((vcell_msb & 0x3f) << 8) | vcell_lsb; + return v_raw * 61 / 200; +} + +int cw2015_get_soc(void) +{ + int soc_msb = i2c_reg_read1(CW2015_BUS, CW2015_ADDR, CW2015_REG_SOC); + + if(soc_msb < 0) + return -1; + + /* MSB is the state of charge in percentage. + * the LSB contains fractional information not useful to Rockbox. */ + return soc_msb & 0xff; +} + +int cw2015_get_rrt(void) +{ + int rrt_msb = i2c_reg_read1(CW2015_BUS, CW2015_ADDR, CW2015_REG_RRT_ALERT); + int rrt_lsb = i2c_reg_read1(CW2015_BUS, CW2015_ADDR, CW2015_REG_RRT_ALERT+1); + + if(rrt_msb < 0 || rrt_lsb < 0) + return -1; + + /* 13 bits, resolution 1 minute */ + return ((rrt_msb & 0x1f) << 8) | rrt_lsb; +} + +const uint8_t* cw2015_get_bat_info(void) +{ + return &chip_batinfo[0]; +} + +#ifndef BOOTLOADER +enum { + CW2015_DEBUG_VCELL = 0, + CW2015_DEBUG_SOC, + CW2015_DEBUG_RRT, + CW2015_DEBUG_BATINFO, + CW2015_DEBUG_BATINFO_LAST = CW2015_DEBUG_BATINFO + 7, + CW2015_DEBUG_NUM_ENTRIES, +}; + +static int cw2015_debug_menu_cb(int action, struct gui_synclist* lists) +{ + (void)lists; + + if(action == ACTION_NONE) + action = ACTION_REDRAW; + + return action; +} + +static const char* cw2015_debug_menu_get_name(int item, void* data, + char* buf, size_t buflen) +{ + (void)data; + + /* hexdump of battery info */ + if(item >= CW2015_DEBUG_BATINFO && item <= CW2015_DEBUG_BATINFO_LAST) { + int i = item - CW2015_DEBUG_BATINFO; + const uint8_t* batinfo = cw2015_get_bat_info(); + snprintf(buf, buflen, "BatInfo%d: %02x %02x %02x %02x %02x %02x %02x %02x", i, + batinfo[8*i + 0], batinfo[8*i + 1], batinfo[8*i + 2], batinfo[8*i + 3], + batinfo[8*i + 4], batinfo[8*i + 5], batinfo[8*i + 6], batinfo[8*i + 7]); + return buf; + } + + switch(item) { + case CW2015_DEBUG_VCELL: + snprintf(buf, buflen, "VCell: %d mV", cw2015_get_vcell()); + return buf; + case CW2015_DEBUG_SOC: + snprintf(buf, buflen, "SOC: %d%%", cw2015_get_soc()); + return buf; + case CW2015_DEBUG_RRT: + snprintf(buf, buflen, "Runtime: %d min", cw2015_get_rrt()); + return buf; + default: + return "---"; + } +} + +bool cw2015_debug_menu(void) +{ + struct simplelist_info info; + simplelist_info_init(&info, "CW2015 debug", CW2015_DEBUG_NUM_ENTRIES, NULL); + info.action_callback = cw2015_debug_menu_cb; + info.get_name = cw2015_debug_menu_get_name; + return simplelist_show_list(&info); +} +#endif diff --git a/firmware/export/audiohw.h b/firmware/export/audiohw.h index ceafc6ebf7..5b2815149d 100644 --- a/firmware/export/audiohw.h +++ b/firmware/export/audiohw.h @@ -216,6 +216,8 @@ struct sound_settings_info #include "cs4398.h" #elif defined(HAVE_ES9018) #include "es9018.h" +#elif defined(HAVE_ES9218) +#include "es9218.h" #elif (CONFIG_PLATFORM & (PLATFORM_ANDROID | PLATFORM_MAEMO \ | PLATFORM_PANDORA | PLATFORM_SDL)) #include "hosted_codec.h" diff --git a/firmware/export/config.h b/firmware/export/config.h index fdf3bf420d..5e7b2be6e4 100644 --- a/firmware/export/config.h +++ b/firmware/export/config.h @@ -160,6 +160,7 @@ #define FIIO_M3K_LINUX_PAD 71 #define EROSQ_PAD 72 #define FIIO_M3K_PAD 73 +#define SHANLING_Q1_PAD 74 /* CONFIG_REMOTE_KEYPAD */ #define H100_REMOTE 1 @@ -274,6 +275,7 @@ #define LCD_IHIFI770C 67 /* as used by IHIFI 770C */ #define LCD_IHIFI800 68 /* as used by IHIFI 800 */ #define LCD_FIIOM3K 69 /* as used by the FiiO M3K */ +#define LCD_SHANLING_Q1 70 /* as used by the Shanling Q1 */ /* LCD_PIXELFORMAT */ #define HORIZONTAL_PACKING 1 @@ -592,6 +594,8 @@ Lyre prototype 1 */ #include "config/fiiom3k.h" #elif defined(EROS_Q) #include "config/aigoerosq.h" +#elif defined(SHANLING_Q1) +#include "config/shanlingq1.h" #else //#error "unknown hwardware platform!" #endif diff --git a/firmware/export/config/shanlingq1.h b/firmware/export/config/shanlingq1.h new file mode 100644 index 0000000000..88175b9160 --- /dev/null +++ b/firmware/export/config/shanlingq1.h @@ -0,0 +1,119 @@ +/* RoLo-related defines */ +#define MODEL_NAME "Shanling Q1" +#define MODEL_NUMBER 115 +#define BOOTFILE_EXT "q1" +#define BOOTFILE "rockbox." BOOTFILE_EXT +#define BOOTDIR "/.rockbox" +#define FIRMWARE_OFFSET_FILE_CRC 0 +#define FIRMWARE_OFFSET_FILE_DATA 8 + +/* CPU defines */ +#define CONFIG_CPU X1000 +#define X1000_EXCLK_FREQ 24000000 +#define CPU_FREQ 1008000000 + +#ifndef SIMULATOR +#define TIMER_FREQ X1000_EXCLK_FREQ +#endif + +/* Kernel defines */ +#define INCLUDE_TIMEOUT_API +#define HAVE_SEMAPHORE_OBJECTS + +/* Drivers */ +#define HAVE_I2C_ASYNC + +/* Buffer for plugins and codecs. */ +#define PLUGIN_BUFFER_SIZE 0x200000 /* 2 MiB */ +#define CODEC_SIZE 0x100000 /* 1 MiB */ + +/* LCD defines */ +#define CONFIG_LCD LCD_SHANLING_Q1 +#define LCD_WIDTH 360 +#define LCD_HEIGHT 400 +#define LCD_DEPTH 16 +#define LCD_PIXELFORMAT RGB565 +#define LCD_DPI 200 +#define HAVE_LCD_COLOR +#define HAVE_LCD_BITMAP +#define HAVE_LCD_ENABLE +#define LCD_X1000_FASTSLEEP +#define LCD_X1000_DMA_WAIT_FOR_FRAME + +/* Backlight defines */ +#define HAVE_BACKLIGHT +#define HAVE_BACKLIGHT_BRIGHTNESS +#define MIN_BRIGHTNESS_SETTING 1 +#define MAX_BRIGHTNESS_SETTING 100 +#define BRIGHTNESS_STEP 5 +#define DEFAULT_BRIGHTNESS_SETTING 70 +#define CONFIG_BACKLIGHT_FADING BACKLIGHT_FADING_SW_SETTING + +/* Codec / audio hardware defines */ +#define HW_SAMPR_CAPS SAMPR_CAP_ALL_192 +#define HAVE_ES9218 +#define HAVE_SW_TONE_CONTROLS + +/* Button defines */ +#define CONFIG_KEYPAD SHANLING_Q1_PAD +#define HAVE_TOUCHSCREEN +#define HAVE_BUTTON_DATA +#define HAVE_FT6x06 +#define HAVE_HEADPHONE_DETECTION + +/* Storage defines */ +#define CONFIG_STORAGE STORAGE_SD +#define HAVE_HOTSWAP +#define HAVE_HOTSWAP_STORAGE_AS_MAIN +#define HAVE_MULTIDRIVE +#define NUM_DRIVES 1 +#define STORAGE_WANTS_ALIGN +#define STORAGE_NEEDS_BOUNCE_BUFFER + +/* RTC settings */ +#define CONFIG_RTC RTC_X1000 +/* TODO: implement HAVE_RTC_ALARM */ + +/* Power management */ +#define CONFIG_BATTERY_MEASURE (VOLTAGE_MEASURE) +#define CONFIG_CHARGING CHARGING_MONITOR +#define HAVE_SW_POWEROFF + +#ifndef SIMULATOR +/* TODO: get the CW2015 driver working correctly */ +/* #define HAVE_CW2015 */ +#define HAVE_AXP_PMU 192 /* Presumed */ +#define HAVE_POWEROFF_WHILE_CHARGING +#endif + +/* Only one battery type */ +#define BATTERY_CAPACITY_DEFAULT 1100 +#define BATTERY_CAPACITY_MIN 1100 +#define BATTERY_CAPACITY_MAX 1100 +#define BATTERY_CAPACITY_INC 0 +#define BATTERY_TYPES_COUNT 1 + +/* USB support */ +#ifndef SIMULATOR +#define CONFIG_USBOTG USBOTG_DESIGNWARE +#define USB_DW_ARCH_SLAVE +#define USB_DW_TURNAROUND 5 +#define HAVE_USBSTACK +#define USB_VENDOR_ID 0x0525 /* Same as the xDuuo X3, for some reason. */ +#define USB_PRODUCT_ID 0xa4a5 /* Nb. DAC mode uses 20b1:301f 'XMOS Ltd' */ +#define USB_DEVBSS_ATTR __attribute__((aligned(32))) +#define HAVE_USB_POWER +#define HAVE_USB_CHARGING_ENABLE +#define HAVE_BOOTLOADER_USB_MODE +#endif + +/* Rockbox capabilities */ +#define HAVE_FAT16SUPPORT +#define HAVE_ALBUMART +#define HAVE_BMP_SCALING +#define HAVE_JPEG +#define HAVE_TAGCACHE +#define HAVE_VOLUME_IN_LIST +#define HAVE_QUICKSCREEN +#define HAVE_HOTKEY +#define AB_REPEAT_ENABLE diff --git a/firmware/export/cw2015.h b/firmware/export/cw2015.h new file mode 100644 index 0000000000..c810d1b7b5 --- /dev/null +++ b/firmware/export/cw2015.h @@ -0,0 +1,57 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2021 Aidan MacDonald + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ + +#ifndef __CW2015_H__ +#define __CW2015_H__ + +#include +#include + +/* Driver for I2C battery fuel gauge IC CW2015. */ + +#define CW2015_REG_VERSION 0x00 +#define CW2015_REG_VCELL 0x02 /* 14 bits, registers 0x02 - 0x03 */ +#define CW2015_REG_SOC 0x04 /* 16 bits, registers 0x04 - 0x05 */ +#define CW2015_REG_RRT_ALERT 0x06 /* 13 bit RRT + alert flag, 0x06-0x07 */ +#define CW2015_REG_CONFIG 0x08 +#define CW2015_REG_MODE 0x0a +#define CW2015_REG_BATINFO 0x10 /* cf. mainline Linux CW2015 driver */ +#define CW2015_SIZE_BATINFO 64 + +extern void cw2015_init(void); + +/* Read the battery terminal voltage, converted to millivolts. */ +extern int cw2015_get_vcell(void); + +/* Read the SOC, in percent (0-100%). */ +extern int cw2015_get_soc(void); + +/* Get the estimated remaining run time, in minutes. + * Not a linearly varying quantity, according to the datasheet. */ +extern int cw2015_get_rrt(void); + +/* Read the current battery profile */ +extern const uint8_t* cw2015_get_bat_info(void); + +/* Debug screen */ +extern bool cw2015_debug_menu(void); + +#endif /* __CW2015_H__ */ diff --git a/firmware/export/es9218.h b/firmware/export/es9218.h new file mode 100644 index 0000000000..1492304c67 --- /dev/null +++ b/firmware/export/es9218.h @@ -0,0 +1,230 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2021 Aidan MacDonald + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ + +#ifndef __ES9218_H__ +#define __ES9218_H__ + +#include +#include + +#define AUDIOHW_CAPS (FILTER_ROLL_OFF_CAP|POWER_MODE_CAP) +#define AUDIOHW_HAVE_ES9218_ROLL_OFF + +#define ES9218_DIG_VOLUME_MIN (-1275) +#define ES9218_DIG_VOLUME_MAX 0 +#define ES9218_DIG_VOLUME_STEP 5 + +#define ES9218_AMP_VOLUME_MIN (-240) +#define ES9218_AMP_VOLUME_MAX 0 +#define ES9218_AMP_VOLUME_STEP 10 + +AUDIOHW_SETTING(VOLUME, "dB", 1, ES9218_DIG_VOLUME_STEP, + ES9218_DIG_VOLUME_MIN, ES9218_DIG_VOLUME_MAX, -200) +AUDIOHW_SETTING(FILTER_ROLL_OFF, "", 0, 1, 0, 7, 0) +AUDIOHW_SETTING(POWER_MODE, "", 0, 1, 0, 1, 0) + +/* Register addresses */ +#define ES9218_REG_SYSTEM 0x00 +#define ES9218_REG_INPUT_SEL 0x01 +#define ES9218_REG_MIX_AUTOMUTE 0x02 +#define ES9218_REG_ANALOG_VOL 0x03 +#define ES9218_REG_AUTOMUTE_TIME 0x04 +#define ES9218_REG_AUTOMUTE_LEVEL 0x05 +#define ES9218_REG_DOP_VOLUME_RAMP 0x06 +#define ES9218_REG_FILTER_SYS_MUTE 0x07 +#define ES9218_REG_GPIO1_2_CONFIG 0x08 +#define ES9218_REG_RESERVED_1 0x09 +#define ES9218_REG_MASTER_MODE_CONFIG 0x0a +#define ES9218_REG_OVERCURRENT_PROT 0x0b +#define ES9218_REG_ASRC_DPLL_BANDWIDTH 0x0c +#define ES9218_REG_THD_COMP_BYPASS 0x0d +#define ES9218_REG_SOFT_START_CONFIG 0x0e +#define ES9218_REG_VOLUME_LEFT 0x0f +#define ES9218_REG_VOLUME_RIGHT 0x10 +#define ES9218_REG_MASTER_TRIM_BIT0_7 0x11 +#define ES9218_REG_MASTER_TRIM_BIT8_15 0x12 +#define ES9218_REG_MASTER_TRIM_BIT16_23 0x13 +#define ES9218_REG_MASTER_TRIM_BIT24_31 0x14 +#define ES9218_REG_GPIO_INPUT_SEL 0x15 +#define ES9218_REG_THD_COMP_C2_LO 0x16 +#define ES9218_REG_THD_COMP_C2_HI 0x17 +#define ES9218_REG_THD_COMP_C3_LO 0x18 +#define ES9218_REG_THD_COMP_C3_HI 0x19 +#define ES9218_REG_CHARGE_PUMP_SS_DELAY 0x1a +#define ES9218_REG_GENERAL_CONFIG 0x1b +#define ES9218_REG_RESERVED_2 0x1c +#define ES9218_REG_GPIO_INV_CLOCK_GEAR 0x1d +#define ES9218_REG_CHARGE_PUMP_CLK_LO 0x1e +#define ES9218_REG_CHARGE_PUMP_CLK_HI 0x1f +#define ES9218_REG_AMP_CONFIG 0x20 +#define ES9218_REG_INTERRUPT_MASK 0x21 +#define ES9218_REG_PROG_NCO_BIT0_7 0x22 +#define ES9218_REG_PROG_NCO_BIT8_15 0x23 +#define ES9218_REG_PROG_NCO_BIT16_23 0x24 +#define ES9218_REG_PROG_NCO_BIT24_31 0x25 +#define ES9218_REG_RESERVED_3 0x27 +#define ES9218_REG_FIR_RAM_ADDR 0x28 +#define ES9218_REG_FIR_DATA_BIT0_7 0x29 +#define ES9218_REG_FIR_DATA_BIT8_15 0x2a +#define ES9218_REG_FIR_DATA_BIT16_23 0x2b +#define ES9218_REG_PROG_FIR_CONFIG 0x2c +#define ES9218_REG_ANALOG_OVERRIDE_1 0x2d +#define ES9218_REG_ANALOG_OVERRIDE_2 0x2e +#define ES9218_REG_ANALOG_OVERRIDE_3 0x2f +#define ES9218_REG_ANALOG_CTRL 0x30 +#define ES9218_REG_CLKGEAR_CFG_BIT0_7 0x31 +#define ES9218_REG_CLKGEAR_CFG_BIT8_15 0x32 +#define ES9218_REG_CLKGEAR_CFG_BIT16_23 0x33 +#define ES9218_REG_RESERVED_4 0x34 +#define ES9218_REG_THD_COMP_C2_CH2_LO 0x35 +#define ES9218_REG_THD_COMP_C2_CH2_HI 0x36 +#define ES9218_REG_THD_COMP_C3_CH2_LO 0x37 +#define ES9218_REG_THD_COMP_C3_CH2_HI 0x38 +#define ES9218_REG_RESERVED_5 0x39 +#define ES9218_REG_RESERVED_6 0x3a +#define ES9218_REG_RESERVED_7 0x3b +#define ES9218_REG_RESERVED_8 0x3c +#define ES9218_REG_CHIP_ID_AND_STATUS 0x40 +#define ES9218_REG_GPIO_AND_CLOCK_GEAR 0x41 +#define ES9218_REG_DPLL_NUMBER_BIT0_7 0x42 +#define ES9218_REG_DPLL_NUMBER_BIT8_15 0x43 +#define ES9218_REG_DPLL_NUMBER_BIT16_23 0x44 +#define ES9218_REG_DPLL_NUMBER_BIT24_31 0x45 +#define ES9218_REG_INPUT_MUTE_STATUS 0x48 +#define ES9218_REG_FIR_READ_BIT0_7 0x49 +#define ES9218_REG_FIR_READ_BIT8_15 0x4a +#define ES9218_REG_FIR_READ_BIT16_23 0x4b + +enum es9218_clock_gear { + ES9218_CLK_GEAR_1 = 0, /* CLK = XI/1 */ + ES9218_CLK_GEAR_2 = 1, /* CLK = XI/2 */ + ES9218_CLK_GEAR_4 = 2, /* CLK = XI/4 */ + ES9218_CLK_GEAR_8 = 3, /* CLK = XI/8 */ +}; + +enum es9218_amp_mode { + ES9218_AMP_MODE_CORE_ON = 0, + ES9218_AMP_MODE_LOWFI = 1, + ES9218_AMP_MODE_1VRMS = 2, + ES9218_AMP_MODE_2VRMS = 3, +}; + +enum es9218_iface_role { + ES9218_IFACE_ROLE_SLAVE = 0, + ES9218_IFACE_ROLE_MASTER = 1, +}; + +enum es9218_iface_format { + ES9218_IFACE_FORMAT_I2S = 0, + ES9218_IFACE_FORMAT_LJUST = 1, + ES9218_IFACE_FORMAT_RJUST = 2, +}; + +enum es9218_iface_bits { + ES9218_IFACE_BITS_16 = 0, + ES9218_IFACE_BITS_24 = 1, + ES9218_IFACE_BITS_32 = 2, +}; + +enum es9218_filter_type { + ES9218_FILTER_LINEAR_FAST = 0, + ES9218_FILTER_LINEAR_SLOW = 1, + ES9218_FILTER_MINIMUM_FAST = 2, + ES9218_FILTER_MINIMUM_SLOW = 3, + ES9218_FILTER_APODIZING_1 = 4, + ES9218_FILTER_APODIZING_2 = 5, + ES9218_FILTER_HYBRID_FAST = 6, + ES9218_FILTER_BRICK_WALL = 7, +}; + +/* Power DAC on or off */ +extern void es9218_open(void); +extern void es9218_close(void); + +/* Clock controls + * + * - Clock gear divides the input master clock to produce the DAC's clock. + * Frequency can be lowered to save power when using lower sample rates. + * + * - NCO (numerically controller oscillator), according to the datasheet, + * defines the ratio between the DAC's clock and the FSR (for PCM modes, + * this is I2S frame clock = sample rate). In master mode it effectively + * controls the sampling frequency by setting the I2S frame clock output. + * It can also be used in slave mode, but other parts of the datasheet + * say contradictory things about synchronous operation in slave mode. + * + * - If using NCO mode and a varying MCLK input (eg. input from the SoC) then + * you will need to call es9218_recompute_nco() when changing MCLK in order + * to refresh the NCO setting. + */ +extern void es9218_set_clock_gear(enum es9218_clock_gear gear); +extern void es9218_set_nco_frequency(uint32_t fsr); +extern void es9218_recompute_nco(void); + +/* Amplifier controls */ +extern void es9218_set_amp_mode(enum es9218_amp_mode mode); +extern void es9218_set_amp_powered(bool en); + +/* Interface selection */ +extern void es9218_set_iface_role(enum es9218_iface_role role); +extern void es9218_set_iface_format(enum es9218_iface_format fmt, + enum es9218_iface_bits bits); + +/* Volume controls, all volumes given in units of dB/10 */ +extern void es9218_set_dig_volume(int vol_l, int vol_r); +extern void es9218_set_amp_volume(int vol); + +/* System mute */ +extern void es9218_mute(bool muted); + +/* Oversampling filter */ +extern void es9218_set_filter(enum es9218_filter_type filt); + +/* Automute settings */ +extern void es9218_set_automute_time(int time); +extern void es9218_set_automute_level(int dB); +extern void es9218_set_automute_fast_mode(bool en); + +/* DPLL bandwidth setting (knob = 0-15) */ +extern void es9218_set_dpll_bandwidth(int knob); + +/* THD compensation */ +extern void es9218_set_thd_compensation(bool en); +extern void es9218_set_thd_coeffs(uint16_t c2, uint16_t c3); + +/* Direct register read/write/update operations */ +extern int es9218_read(int reg); +extern void es9218_write(int reg, uint8_t val); +extern void es9218_update(int reg, uint8_t msk, uint8_t val); + +/* GPIO pin setting callbacks */ +extern void es9218_set_power_pin(int level); +extern void es9218_set_reset_pin(int level); + +/* XI(MCLK) getter -- supplied by the target. + * + * Note: when changing the supplied MCLK frequency, the NCO will need to be + * reprogrammed for the new master clock. Call es9218_recompute_nco() to + * force this. Not necessary if you're not using NCO mode. + */ +extern uint32_t es9218_get_mclk(void); + +#endif /* __ES9218_H__ */ diff --git a/firmware/target/hosted/sdl/sim-ui-defines.h b/firmware/target/hosted/sdl/sim-ui-defines.h index 5b4030bd37..5b83c1bf12 100644 --- a/firmware/target/hosted/sdl/sim-ui-defines.h +++ b/firmware/target/hosted/sdl/sim-ui-defines.h @@ -529,6 +529,14 @@ #define UI_LCD_POSY 15 +#elif defined(SHANLING_Q1) +#define UI_TITLE "Shanling Q1" +#define UI_WIDTH 466 +#define UI_HEIGHT 526 +#define UI_LCD_POSX 46 +#define UI_LCD_POSY 61 + + #elif defined(SIMULATOR) #error no UI defines #endif diff --git a/firmware/target/mips/ingenic_x1000/debug-x1000.c b/firmware/target/mips/ingenic_x1000/debug-x1000.c index fe469b1a72..1965b0b74e 100644 --- a/firmware/target/mips/ingenic_x1000/debug-x1000.c +++ b/firmware/target/mips/ingenic_x1000/debug-x1000.c @@ -152,6 +152,9 @@ extern bool dbg_fiiom3k_touchpad(void); #ifdef HAVE_AXP_PMU extern bool axp_debug_menu(void); #endif +#ifdef HAVE_CW2015 +extern bool cw2015_debug_menu(void); +#endif /* Menu definition */ static const struct { @@ -170,6 +173,9 @@ static const struct { #ifdef HAVE_AXP_PMU {"Power stats", &axp_debug_menu}, #endif +#ifdef HAVE_CW2015 + {"CW2015 debug", &cw2015_debug_menu}, +#endif }; static int hw_info_menu_action_cb(int btn, struct gui_synclist* lists) diff --git a/firmware/target/mips/ingenic_x1000/msc-x1000.c b/firmware/target/mips/ingenic_x1000/msc-x1000.c index 3b7df1dd01..d0359a53e2 100644 --- a/firmware/target/mips/ingenic_x1000/msc-x1000.c +++ b/firmware/target/mips/ingenic_x1000/msc-x1000.c @@ -42,7 +42,7 @@ #define DEBOUNCE_TIME (HZ/10) static const msc_config msc_configs[] = { -#ifdef FIIO_M3K +#if defined(FIIO_M3K) #define MSC_CLOCK_SOURCE X1000_CLK_SCLK_A { .msc_nr = 0, @@ -52,6 +52,17 @@ static const msc_config msc_configs[] = { .cd_gpio = GPIO_MSC0_CD, .cd_active_level = 0, }, +#elif defined(SHANLING_Q1) +#define MSC_CLOCK_SOURCE X1000_CLK_MPLL + { + .msc_nr = 0, + .msc_type = MSC_TYPE_SD, + .bus_width = 4, + .label = "microSD", + .cd_gpio = GPIO_MSC0_CD, + .cd_active_level = 0, + }, + /* NOTE: SDIO wifi card is on msc1 */ #else # error "Please add X1000 MSC config" #endif diff --git a/firmware/target/mips/ingenic_x1000/nand-x1000.c b/firmware/target/mips/ingenic_x1000/nand-x1000.c index b76efe65e5..de6eb2fb67 100644 --- a/firmware/target/mips/ingenic_x1000/nand-x1000.c +++ b/firmware/target/mips/ingenic_x1000/nand-x1000.c @@ -58,7 +58,7 @@ #define FREG_STATUS_ECC_UNCOR_ERR (2 << 4) const nand_chip supported_nand_chips[] = { -#if defined(FIIO_M3K) +#if defined(FIIO_M3K) || defined(SHANLING_Q1) { /* ATO25D1GA */ .mf_id = 0x9b, diff --git a/firmware/target/mips/ingenic_x1000/shanlingq1/adc-target.h b/firmware/target/mips/ingenic_x1000/shanlingq1/adc-target.h new file mode 100644 index 0000000000..e69de29bb2 diff --git a/firmware/target/mips/ingenic_x1000/shanlingq1/audiohw-shanlingq1.c b/firmware/target/mips/ingenic_x1000/shanlingq1/audiohw-shanlingq1.c new file mode 100644 index 0000000000..7314f20412 --- /dev/null +++ b/firmware/target/mips/ingenic_x1000/shanlingq1/audiohw-shanlingq1.c @@ -0,0 +1,191 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2021 Aidan MacDonald + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ + +#include "audiohw.h" +#include "system.h" +#include "pcm_sampr.h" +#include "aic-x1000.h" +#include "i2c-x1000.h" +#include "gpio-x1000.h" +#include "x1000/aic.h" +#include "x1000/cpm.h" + +/* Codec has an dedicated oscillator connected, so it can operate + * as i2s master or slave. I can't distinguish any difference in + * terms of audio quality or power consumption. Code is left here + * for reference in case it proves useful to change it. */ +#define CODEC_MASTER_MODE 0 + +static int cur_fsel = HW_FREQ_48; +static int cur_vol_l = 0, cur_vol_r = 0; +static int cur_filter = 0; +static enum es9218_amp_mode cur_amp_mode = ES9218_AMP_MODE_1VRMS; + +static void codec_start(void) +{ + es9218_open(); + es9218_mute(true); + es9218_set_iface_role(CODEC_MASTER_MODE ? ES9218_IFACE_ROLE_MASTER + : ES9218_IFACE_ROLE_SLAVE); + es9218_set_iface_format(ES9218_IFACE_FORMAT_I2S, ES9218_IFACE_BITS_32); + es9218_set_dpll_bandwidth(10); + es9218_set_thd_compensation(true); + es9218_set_thd_coeffs(0, 0); + audiohw_set_filter_roll_off(cur_filter); + audiohw_set_frequency(cur_fsel); + audiohw_set_volume(cur_vol_l, cur_vol_r); + es9218_set_amp_mode(cur_amp_mode); +} + +static void codec_stop(void) +{ + es9218_mute(true); + es9218_close(); + mdelay(1); +} + +void audiohw_init(void) +{ + /* Configure AIC */ + aic_set_external_codec(true); + aic_set_i2s_mode(CODEC_MASTER_MODE ? AIC_I2S_SLAVE_MODE + : AIC_I2S_MASTER_MODE); + aic_enable_i2s_bit_clock(true); + + /* Open DAC driver */ + i2c_x1000_set_freq(1, I2C_FREQ_400K); + codec_start(); +} + +void audiohw_postinit(void) +{ + es9218_mute(false); +} + +void audiohw_close(void) +{ + codec_stop(); +} + +void audiohw_set_frequency(int fsel) +{ + int sampr = hw_freq_sampr[fsel]; + + /* choose clock gear setting, in line with the OF */ + enum es9218_clock_gear clkgear; + if(sampr <= 48000) + clkgear = ES9218_CLK_GEAR_4; + else if(sampr <= 96000) + clkgear = ES9218_CLK_GEAR_2; + else + clkgear = ES9218_CLK_GEAR_1; + + aic_enable_i2s_bit_clock(false); + es9218_set_clock_gear(clkgear); + + if(CODEC_MASTER_MODE) + es9218_set_nco_frequency(sampr); + else + aic_set_i2s_clock(X1000_CLK_SCLK_A, sampr, 64); + + aic_enable_i2s_bit_clock(true); + + /* save frequency selection */ + cur_fsel = fsel; +} + +static int round_step_up(int x, int step) +{ + int rem = x % step; + if(rem > 0) + rem -= step; + return x - rem; +} + +void audiohw_set_volume(int vol_l, int vol_r) +{ + /* save volume */ + cur_vol_l = vol_l; + cur_vol_r = vol_r; + + /* adjust the amp setting first */ + int amp = round_step_up(MAX(vol_l, vol_r), ES9218_AMP_VOLUME_STEP); + amp = MIN(amp, ES9218_AMP_VOLUME_MAX); + amp = MAX(amp, ES9218_AMP_VOLUME_MIN); + + /* adjust digital volumes */ + vol_l -= amp; + vol_l = MIN(vol_l, ES9218_DIG_VOLUME_MAX); + vol_l = MAX(vol_l, ES9218_DIG_VOLUME_MIN); + + vol_r -= amp; + vol_r = MIN(vol_r, ES9218_DIG_VOLUME_MAX); + vol_r = MAX(vol_r, ES9218_DIG_VOLUME_MIN); + + /* program DAC */ + es9218_set_amp_volume(amp); + es9218_set_dig_volume(vol_l, vol_r); +} + +void audiohw_set_filter_roll_off(int value) +{ + cur_filter = value; + es9218_set_filter(value); +} + +void audiohw_set_power_mode(int mode) +{ + enum es9218_amp_mode new_amp_mode; + if(mode == 0) + new_amp_mode = ES9218_AMP_MODE_2VRMS; + else + new_amp_mode = ES9218_AMP_MODE_1VRMS; + + if(new_amp_mode != cur_amp_mode) { + codec_stop(); + cur_amp_mode = new_amp_mode; + codec_start(); + es9218_mute(false); + } +} + +void es9218_set_power_pin(int level) +{ + gpio_set_level(GPIO_ES9218_POWER, level ? 1 : 0); +} + +void es9218_set_reset_pin(int level) +{ + gpio_set_level(GPIO_ES9218_RESET, level ? 1 : 0); +} + +uint32_t es9218_get_mclk(void) +{ + /* Measured by running the DAC in asynchronous I2S slave mode, + * and reading back the DPLL number from regs 0x42-0x45 while + * playing back 44.1 KHz audio. + * + * CLK = (44_100 * 2**32) / 0x4b46e5 + * = 38_393_403.29532737 + * ~ 38.4 Mhz + */ + return 38400000; +} diff --git a/firmware/target/mips/ingenic_x1000/shanlingq1/backlight-shanlingq1.c b/firmware/target/mips/ingenic_x1000/shanlingq1/backlight-shanlingq1.c new file mode 100644 index 0000000000..32c1b902aa --- /dev/null +++ b/firmware/target/mips/ingenic_x1000/shanlingq1/backlight-shanlingq1.c @@ -0,0 +1,63 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2021 Aidan MacDonald + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ + +#include "backlight.h" +#include "backlight-target.h" +#include "lcd.h" +#include "pwm-x1000.h" + +#define BL_LCD_CHN 0 +#define BL_LCD_PERIOD 10000 + +static int backlight_calc_duty(int period, int min_duty, int brightness) +{ + return min_duty + (period - min_duty) * brightness / MAX_BRIGHTNESS_SETTING; +} + +bool backlight_hw_init(void) +{ + pwm_init(BL_LCD_CHN); + pwm_enable(BL_LCD_CHN); + backlight_hw_brightness(MAX_BRIGHTNESS_SETTING); + return true; +} + +void backlight_hw_on(void) +{ + pwm_enable(BL_LCD_CHN); +#ifdef HAVE_LCD_ENABLE + lcd_enable(true); +#endif +} + +void backlight_hw_off(void) +{ + pwm_disable(BL_LCD_CHN); +#ifdef HAVE_LCD_ENABLE + lcd_enable(false); +#endif +} + +void backlight_hw_brightness(int brightness) +{ + int duty_ns = backlight_calc_duty(BL_LCD_PERIOD, 0, brightness); + pwm_set_period(BL_LCD_CHN, BL_LCD_PERIOD, duty_ns); +} diff --git a/firmware/target/mips/ingenic_x1000/shanlingq1/backlight-target.h b/firmware/target/mips/ingenic_x1000/shanlingq1/backlight-target.h new file mode 100644 index 0000000000..7298c1c06a --- /dev/null +++ b/firmware/target/mips/ingenic_x1000/shanlingq1/backlight-target.h @@ -0,0 +1,33 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2021 Aidan MacDonald + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ + +#ifndef __BACKLIGHT_TARGET_H__ +#define __BACKLIGHT_TARGET_H__ + +#include + +extern bool backlight_hw_init(void); + +extern void backlight_hw_on(void); +extern void backlight_hw_off(void); +extern void backlight_hw_brightness(int brightness); + +#endif /* __BACKLIGHT_TARGET_H__ */ diff --git a/firmware/target/mips/ingenic_x1000/shanlingq1/boot.make b/firmware/target/mips/ingenic_x1000/shanlingq1/boot.make new file mode 100644 index 0000000000..639f570ea3 --- /dev/null +++ b/firmware/target/mips/ingenic_x1000/shanlingq1/boot.make @@ -0,0 +1,31 @@ +# __________ __ ___. +# Open \______ \ ____ ____ | | _\_ |__ _______ ___ +# Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / +# Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < +# Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ +# \/ \/ \/ \/ \/ +# $Id$ +# + +include $(ROOTDIR)/lib/microtar/microtar.make + +.SECONDEXPANSION: + +# FIXME(q1): verify NAND parameters +$(BUILDDIR)/spl.q1: $(BUILDDIR)/spl.bin + $(call PRINTS,MKSPL $(@F))$(TOOLSDIR)/mkspl-x1000 -type=nand -ppb=2 -bpp=2 $< $@ + +$(BUILDDIR)/bootloader.ucl: $(BUILDDIR)/bootloader.bin + $(call PRINTS,UCLPACK $(@F))$(TOOLSDIR)/uclpack --nrv2e -9 $< $@ >/dev/null + +.PHONY: $(BUILDDIR)/bootloader-info.txt +$(BUILDDIR)/bootloader-info.txt: + $(call PRINTS,GEN $(@F))echo $(SVNVERSION) > $@ + +$(BUILDDIR)/$(BINARY): $(BUILDDIR)/spl.q1 \ + $(BUILDDIR)/bootloader.ucl \ + $(BUILDDIR)/bootloader-info.txt + $(call PRINTS,TAR $(@F))tar -C $(BUILDDIR) \ + --numeric-owner --no-acls --no-xattrs --no-selinux \ + --mode=0644 --owner=0 --group=0 \ + -cf $@ $(call full_path_subst,$(BUILDDIR)/%,%,$^) diff --git a/firmware/target/mips/ingenic_x1000/shanlingq1/button-shanlingq1.c b/firmware/target/mips/ingenic_x1000/shanlingq1/button-shanlingq1.c new file mode 100644 index 0000000000..27c49a7bd7 --- /dev/null +++ b/firmware/target/mips/ingenic_x1000/shanlingq1/button-shanlingq1.c @@ -0,0 +1,195 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2021 Aidan MacDonald + * Copyright (C) 2021 Dana Conrad + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ + +#include "button.h" +#include "touchscreen.h" +#include "ft6x06.h" +#include "axp-pmu.h" +#include "kernel.h" +#include "backlight.h" +#include "powermgmt.h" +#include "gpio-x1000.h" +#include "irq-x1000.h" +#include "i2c-x1000.h" +#include + +/* Volume wheel rotation */ +static volatile int wheel_pos = 0; + +/* Value of headphone detect register */ +static uint8_t hp_detect_reg = 0x00; + +/* Interval to poll the register */ +#define HPD_POLL_TIME (HZ/2) + +static int hp_detect_tmo_cb(struct timeout* tmo) +{ + i2c_descriptor* d = (i2c_descriptor*)tmo->data; + i2c_async_queue(AXP_PMU_BUS, TIMEOUT_NOBLOCK, I2C_Q_ADD, 0, d); + return HPD_POLL_TIME; +} + +static void hp_detect_init(void) +{ + /* TODO: replace this copy paste cruft with an API in axp-pmu */ + static struct timeout tmo; + static const uint8_t gpio_reg = AXP192_REG_GPIOSTATE1; + static i2c_descriptor desc = { + .slave_addr = AXP_PMU_ADDR, + .bus_cond = I2C_START | I2C_STOP, + .tran_mode = I2C_READ, + .buffer[0] = (void*)&gpio_reg, + .count[0] = 1, + .buffer[1] = &hp_detect_reg, + .count[1] = 1, + .callback = NULL, + .arg = 0, + .next = NULL, + }; + + /* Headphone detect is wired to AXP192 GPIO: set it to input state */ + i2c_reg_write1(AXP_PMU_BUS, AXP_PMU_ADDR, AXP192_REG_GPIO1FUNCTION, 0x01); + + /* Get an initial reading before startup */ + int r = i2c_reg_read1(AXP_PMU_BUS, AXP_PMU_ADDR, gpio_reg); + if(r >= 0) + hp_detect_reg = r; + + /* Poll the register every second */ + timeout_register(&tmo, &hp_detect_tmo_cb, HPD_POLL_TIME, (intptr_t)&desc); +} + +void button_init_device(void) +{ + /* Setup interrupts for the volume wheel */ + gpio_set_function(GPIO_WHEEL1, GPIOF_IRQ_EDGE(0)); + gpio_set_function(GPIO_WHEEL2, GPIOF_IRQ_EDGE(0)); + gpio_flip_edge_irq(GPIO_WHEEL1); + gpio_flip_edge_irq(GPIO_WHEEL2); + gpio_enable_irq(GPIO_WHEEL1); + gpio_enable_irq(GPIO_WHEEL2); + + /* Init touchscreen driver */ + i2c_x1000_set_freq(FT6x06_BUS, I2C_FREQ_400K); + ft6x06_init(); + + /* Reset touch controller */ + gpio_set_level(GPIO_FT6x06_POWER, 1); + gpio_set_level(GPIO_FT6x06_RESET, 0); + mdelay(5); + gpio_set_level(GPIO_FT6x06_RESET, 1); + + /* Enable ft6x06 interrupt */ + system_set_irq_handler(GPIO_TO_IRQ(GPIO_FT6x06_INTERRUPT), ft6x06_irq_handler); + gpio_set_function(GPIO_FT6x06_INTERRUPT, GPIOF_IRQ_EDGE(0)); + gpio_enable_irq(GPIO_FT6x06_INTERRUPT); + + /* Headphone detection */ + hp_detect_init(); +} + +int button_read_device(int* data) +{ + int r = 0; + + /* Read GPIO buttons, these are all active low */ + uint32_t b = REG_GPIO_PIN(GPIO_B); + if((b & (1 << 21)) == 0) r |= BUTTON_PREV; + if((b & (1 << 22)) == 0) r |= BUTTON_NEXT; + if((b & (1 << 28)) == 0) r |= BUTTON_PLAY; + if((b & (1 << 31)) == 0) r |= BUTTON_POWER; + + /* Check the wheel */ + int wheel_btn = 0; + int whpos = wheel_pos; + if(whpos > 3) + wheel_btn = BUTTON_VOL_DOWN; + else if(whpos < -3) + wheel_btn = BUTTON_VOL_UP; + + if(wheel_btn) { + wheel_pos = 0; + + /* Post the event (rapid motion is more reliable this way) */ + queue_post(&button_queue, wheel_btn, 0); + queue_post(&button_queue, wheel_btn|BUTTON_REL, 0); + + /* Poke the backlight */ + backlight_on(); + reset_poweroff_timer(); + } + + /* Handle touchscreen + * + * TODO: Support 2-point multitouch (useful for 3x3 grid mode) + * TODO: Support simple gestures by converting them to fake buttons + */ + int t = touchscreen_to_pixels(ft6x06_state.pos_x, ft6x06_state.pos_y, data); + if(ft6x06_state.event == FT6x06_EVT_PRESS || + ft6x06_state.event == FT6x06_EVT_CONTACT) { + /* Only set the button bit if the screen is being touched. */ + r |= t; + } + + return r; +} + +void touchscreen_enable_device(bool en) +{ + ft6x06_enable(en); + /* TODO: check if it's worth shutting off the power pin */ +} + +bool headphones_inserted(void) +{ + /* TODO: Also check if the headset button is detectable via an ADC. + * The AXP driver should probably get proper interrupt handling, + * that would be useful for more things than just GPIO polling. */ + return hp_detect_reg & 0x20 ? true : false; +} + +static void handle_wheel_irq(void) +{ + /* Wheel stuff adapted from button-erosqnative.c */ + static const int delta[16] = { 0, -1, 1, 0, + 1, 0, 0, -1, + -1, 0, 0, 1, + 0, 1, -1, 0 }; + static uint32_t state = 0; + state <<= 2; + state |= (REG_GPIO_PIN(GPIO_D) >> 2) & 3; + state &= 0xf; + + wheel_pos += delta[state]; +} + +void GPIOD02(void) +{ + handle_wheel_irq(); + gpio_flip_edge_irq(GPIO_WHEEL1); +} + +void GPIOD03(void) +{ + handle_wheel_irq(); + gpio_flip_edge_irq(GPIO_WHEEL2); +} diff --git a/firmware/target/mips/ingenic_x1000/shanlingq1/button-target.h b/firmware/target/mips/ingenic_x1000/shanlingq1/button-target.h new file mode 100644 index 0000000000..905d148afa --- /dev/null +++ b/firmware/target/mips/ingenic_x1000/shanlingq1/button-target.h @@ -0,0 +1,56 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2021 Aidan MacDonald + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ + +#ifndef __BUTTON_TARGET_H__ +#define __BUTTON_TARGET_H__ + +#include + +/* physical buttons */ +#define BUTTON_POWER 0x00000001 +#define BUTTON_VOL_UP 0x00000002 /* up = wheel clockwise */ +#define BUTTON_VOL_DOWN 0x00000004 +#define BUTTON_PLAY 0x00000008 /* circle */ +#define BUTTON_NEXT 0x00000010 /* down */ +#define BUTTON_PREV 0x00000020 /* up */ + +/* compatibility hacks */ +#define BUTTON_LEFT BUTTON_MIDLEFT +#define BUTTON_RIGHT BUTTON_MIDRIGHT + +/* touchscreen "buttons" */ +#define BUTTON_TOPLEFT 0x00000040 +#define BUTTON_TOPMIDDLE 0x00000080 +#define BUTTON_TOPRIGHT 0x00000100 +#define BUTTON_MIDLEFT 0x00000200 +#define BUTTON_CENTER 0x00000400 +#define BUTTON_MIDRIGHT 0x00000800 +#define BUTTON_BOTTOMLEFT 0x00001000 +#define BUTTON_BOTTOMMIDDLE 0x00002000 +#define BUTTON_BOTTOMRIGHT 0x00004000 + +#define BUTTON_MAIN (BUTTON_POWER|BUTTON_VOL_UP|BUTTON_VOL_DOWN|\ + BUTTON_PLAY|BUTTON_NEXT|BUTTON_PREV) + +#define POWEROFF_BUTTON BUTTON_POWER +#define POWEROFF_COUNT 30 + +#endif /* __BUTTON_TARGET_H__ */ diff --git a/firmware/target/mips/ingenic_x1000/shanlingq1/gpio-target.h b/firmware/target/mips/ingenic_x1000/shanlingq1/gpio-target.h new file mode 100644 index 0000000000..7c71d12888 --- /dev/null +++ b/firmware/target/mips/ingenic_x1000/shanlingq1/gpio-target.h @@ -0,0 +1,32 @@ +/* Name Port Pins Function */ +DEFINE_PINGROUP(LCD_DATA, GPIO_A, 0xffff << 0, GPIOF_DEVICE(1)) +DEFINE_PINGROUP(LCD_CONTROL, GPIO_B, 0x1a << 16, GPIOF_DEVICE(1)) +DEFINE_PINGROUP(MSC0, GPIO_A, 0x3f << 20, GPIOF_DEVICE(1)) +DEFINE_PINGROUP(SFC, GPIO_A, 0x3f << 26, GPIOF_DEVICE(1)) +DEFINE_PINGROUP(I2S, GPIO_B, 0x1f << 0, GPIOF_DEVICE(1)) +DEFINE_PINGROUP(I2C0, GPIO_B, 3 << 23, GPIOF_DEVICE(0)) +DEFINE_PINGROUP(I2C1, GPIO_C, 3 << 26, GPIOF_DEVICE(0)) +DEFINE_PINGROUP(I2C2, GPIO_D, 3 << 0, GPIOF_DEVICE(1)) + +/* Name Pin Function */ +DEFINE_GPIO(FT6x06_INTERRUPT, GPIO_PA(16), GPIOF_INPUT) +DEFINE_GPIO(USB_DETECT, GPIO_PA(17), GPIOF_INPUT) +DEFINE_GPIO(FT6x06_RESET, GPIO_PA(19), GPIOF_OUTPUT(0)) +DEFINE_GPIO(LCD_PWR, GPIO_PB(6), GPIOF_OUTPUT(1)) +DEFINE_GPIO(FT6x06_POWER, GPIO_PB(8), GPIOF_OUTPUT(0)) +DEFINE_GPIO(MSC0_CD, GPIO_PB(9), GPIOF_INPUT) +DEFINE_GPIO(ES9218_POWER, GPIO_PB(13), GPIOF_OUTPUT(0)) +DEFINE_GPIO(LCD_RST, GPIO_PB(15), GPIOF_OUTPUT(1)) +DEFINE_GPIO(LCD_RD, GPIO_PB(16), GPIOF_OUTPUT(1)) +DEFINE_GPIO(LCD_CE, GPIO_PB(18), GPIOF_OUTPUT(1)) +DEFINE_GPIO(BTN_PREV, GPIO_PB(21), GPIOF_INPUT) +DEFINE_GPIO(BTN_NEXT, GPIO_PB(22), GPIOF_INPUT) +DEFINE_GPIO(USB_DRVVBUS, GPIO_PB(25), GPIOF_OUTPUT(0)) +DEFINE_GPIO(BTN_PLAY, GPIO_PB(28), GPIOF_INPUT) +DEFINE_GPIO(BTN_POWER, GPIO_PB(31), GPIOF_INPUT) +DEFINE_GPIO(AXP_IRQ, GPIO_PC(21), GPIOF_INPUT) +DEFINE_GPIO(USB_ID, GPIO_PC(23), GPIOF_INPUT) +DEFINE_GPIO(WHEEL1, GPIO_PD(2), GPIOF_INPUT) +DEFINE_GPIO(WHEEL2, GPIO_PD(3), GPIOF_INPUT) +DEFINE_GPIO(ES9218_GPIO2, GPIO_PD(4), GPIOF_OUTPUT(0)) +DEFINE_GPIO(ES9218_RESET, GPIO_PD(5), GPIOF_OUTPUT(0)) diff --git a/firmware/target/mips/ingenic_x1000/shanlingq1/i2c-target.h b/firmware/target/mips/ingenic_x1000/shanlingq1/i2c-target.h new file mode 100644 index 0000000000..af19aeb28c --- /dev/null +++ b/firmware/target/mips/ingenic_x1000/shanlingq1/i2c-target.h @@ -0,0 +1,40 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2021 Aidan MacDonald + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ + +#ifndef __I2C_TARGET_H__ +#define __I2C_TARGET_H__ + +#define I2C_ASYNC_BUS_COUNT 3 +#define I2C_ASYNC_QUEUE_SIZE 4 + +#define FT6x06_BUS 0 +#define FT6x06_ADDR 0x38 + +#define ES9218_BUS 1 +#define ES9218_ADDR 0x48 + +#define AXP_PMU_BUS 2 +#define AXP_PMU_ADDR 0x34 + +#define CW2015_BUS 2 +#define CW2015_ADDR 0x62 + +#endif /* __I2C_TARGET_H__ */ diff --git a/firmware/target/mips/ingenic_x1000/shanlingq1/lcd-shanlingq1.c b/firmware/target/mips/ingenic_x1000/shanlingq1/lcd-shanlingq1.c new file mode 100644 index 0000000000..532a149185 --- /dev/null +++ b/firmware/target/mips/ingenic_x1000/shanlingq1/lcd-shanlingq1.c @@ -0,0 +1,399 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2021 Aidan MacDonald + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ + +#include "lcd.h" +#include "system.h" +#include "lcd-x1000.h" +#include "gpio-x1000.h" + +/* LCD controller is probably an RM68090. + */ + +static const uint32_t q1_lcd_cmd_enable[] = { + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0xbe, + LCD_INSTR_DAT, 0xc3, + LCD_INSTR_DAT, 0x29, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x01, + LCD_INSTR_DAT, 0x01, + LCD_INSTR_DAT, 0x04, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x02, + LCD_INSTR_DAT, 0x01, + LCD_INSTR_DAT, 0x00, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x03, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x10, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x05, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x00, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x06, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x00, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x07, + LCD_INSTR_DAT, 0x01, + LCD_INSTR_DAT, 0x03, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x08, + LCD_INSTR_DAT, 0x03, + LCD_INSTR_DAT, 0x03, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x0d, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x00, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x10, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0xc1, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x11, + LCD_INSTR_DAT, 0xb1, + LCD_INSTR_DAT, 0x08, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x12, + LCD_INSTR_DAT, 0xb1, + LCD_INSTR_DAT, 0x08, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x13, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x0f, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x14, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x14, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x15, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x04, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x16, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x00, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x22, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x00, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x23, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x00, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x30, + LCD_INSTR_DAT, 0x7c, + LCD_INSTR_DAT, 0x3f, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x32, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x00, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x70, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x01, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x91, + LCD_INSTR_DAT, 0x01, + LCD_INSTR_DAT, 0x00, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0xe0, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x01, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0xe1, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x61, + + LCD_INSTR_CMD, 0x01, + LCD_INSTR_CMD, 0x00, + LCD_INSTR_DAT, 0x10, + LCD_INSTR_DAT, 0x30, + + LCD_INSTR_CMD, 0x01, + LCD_INSTR_CMD, 0x01, + LCD_INSTR_DAT, 0xf6, + LCD_INSTR_DAT, 0x3f, + + LCD_INSTR_CMD, 0x01, + LCD_INSTR_CMD, 0x02, + LCD_INSTR_DAT, 0x50, + LCD_INSTR_DAT, 0x1f, + + LCD_INSTR_CMD, 0x01, + LCD_INSTR_CMD, 0x03, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x30, + + LCD_INSTR_CMD, 0x01, + LCD_INSTR_CMD, 0x08, + LCD_INSTR_DAT, 0x03, + LCD_INSTR_DAT, 0x00, + + LCD_INSTR_CMD, 0x01, + LCD_INSTR_CMD, 0x11, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x01, + + LCD_INSTR_CMD, 0x01, + LCD_INSTR_CMD, 0x35, + LCD_INSTR_DAT, 0x76, + LCD_INSTR_DAT, 0x66, + + LCD_INSTR_CMD, 0x01, + LCD_INSTR_CMD, 0x39, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x26, + + LCD_INSTR_CMD, 0x04, + LCD_INSTR_CMD, 0x00, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0xc7, + + LCD_INSTR_CMD, 0x04, + LCD_INSTR_CMD, 0x01, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x00, + + LCD_INSTR_CMD, 0x06, + LCD_INSTR_CMD, 0x06, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x00, + + LCD_INSTR_CMD, 0x03, + LCD_INSTR_CMD, 0x00, + LCD_INSTR_DAT, 0x0d, + LCD_INSTR_DAT, 0x0e, + + LCD_INSTR_CMD, 0x03, + LCD_INSTR_CMD, 0x01, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x03, + + LCD_INSTR_CMD, 0x03, + LCD_INSTR_CMD, 0x02, + LCD_INSTR_DAT, 0x08, + LCD_INSTR_DAT, 0x08, + + LCD_INSTR_CMD, 0x03, + LCD_INSTR_CMD, 0x03, + LCD_INSTR_DAT, 0x02, + LCD_INSTR_DAT, 0x01, + + LCD_INSTR_CMD, 0x03, + LCD_INSTR_CMD, 0x04, + LCD_INSTR_DAT, 0x03, + LCD_INSTR_DAT, 0x01, + + LCD_INSTR_CMD, 0x03, + LCD_INSTR_CMD, 0x05, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x04, + + LCD_INSTR_CMD, 0x03, + LCD_INSTR_CMD, 0x06, + LCD_INSTR_DAT, 0x1b, + LCD_INSTR_DAT, 0x21, + + LCD_INSTR_CMD, 0x03, + LCD_INSTR_CMD, 0x07, + LCD_INSTR_DAT, 0x0f, + LCD_INSTR_DAT, 0x0e, + + LCD_INSTR_CMD, 0x03, + LCD_INSTR_CMD, 0x08, + LCD_INSTR_DAT, 0x01, + LCD_INSTR_DAT, 0x04, + + LCD_INSTR_CMD, 0x03, + LCD_INSTR_CMD, 0x09, + LCD_INSTR_DAT, 0x08, + LCD_INSTR_DAT, 0x08, + + LCD_INSTR_CMD, 0x03, + LCD_INSTR_CMD, 0x0a, + LCD_INSTR_DAT, 0x02, + LCD_INSTR_DAT, 0x01, + + LCD_INSTR_CMD, 0x03, + LCD_INSTR_CMD, 0x0b, + LCD_INSTR_DAT, 0x03, + LCD_INSTR_DAT, 0x01, + + LCD_INSTR_CMD, 0x03, + LCD_INSTR_CMD, 0x0c, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x03, + + LCD_INSTR_CMD, 0x03, + LCD_INSTR_CMD, 0x0d, + LCD_INSTR_DAT, 0x31, + LCD_INSTR_DAT, 0x34, + + /* X start */ + LCD_INSTR_CMD, 0x02, + LCD_INSTR_CMD, 0x10, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x1e, /* 30 */ + + /* X end */ + LCD_INSTR_CMD, 0x02, + LCD_INSTR_CMD, 0x11, + LCD_INSTR_DAT, 0x01, + LCD_INSTR_DAT, 0x85, /* 389 */ + + /* Y start */ + LCD_INSTR_CMD, 0x02, + LCD_INSTR_CMD, 0x12, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x00, /* 0 */ + + /* Y end */ + LCD_INSTR_CMD, 0x02, + LCD_INSTR_CMD, 0x13, + LCD_INSTR_DAT, 0x01, + LCD_INSTR_DAT, 0x8f, /* 399 */ + + /* RAM write start X? */ + LCD_INSTR_CMD, 0x02, + LCD_INSTR_CMD, 0x00, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x1e, + + /* RAM write start Y? */ + LCD_INSTR_CMD, 0x02, + LCD_INSTR_CMD, 0x01, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x00, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x03, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x30, + + LCD_INSTR_CMD, 0x02, + LCD_INSTR_CMD, 0x02, + LCD_INSTR_END, +}; + +/* NOTE this sleep mode may not be saving power, but it gets rid of the + * ghost image that would otherwise remain on the display */ +static const uint32_t q1_lcd_cmd_sleep[] = { + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x10, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0x03, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x07, + LCD_INSTR_DAT, 0x01, + LCD_INSTR_DAT, 0x01, + + LCD_INSTR_END, +}; + +static const uint32_t q1_lcd_cmd_wake[] = { + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x07, + LCD_INSTR_DAT, 0x01, + LCD_INSTR_DAT, 0x03, + + LCD_INSTR_CMD, 0x00, + LCD_INSTR_CMD, 0x10, + LCD_INSTR_DAT, 0x00, + LCD_INSTR_DAT, 0xc1, + + LCD_INSTR_END, +}; + +static const uint8_t __attribute__((aligned(64))) + q1_lcd_dma_wr_cmd[] = {0x02, 0x02, 0x02, 0x02}; + +const struct lcd_tgt_config lcd_tgt_config = { + .bus_width = 8, + .cmd_width = 8, + .use_6800_mode = 0, + .use_serial = 0, + .clk_polarity = 0, + .dc_polarity = 0, + .wr_polarity = 1, + .te_enable = 0, + .big_endian = 1, + .dma_wr_cmd_buf = &q1_lcd_dma_wr_cmd, + .dma_wr_cmd_size = sizeof(q1_lcd_dma_wr_cmd), +}; + +void lcd_tgt_enable(bool enable) +{ + if(enable) { + /* power on the panel */ + gpio_set_level(GPIO_LCD_PWR, 1); + gpio_set_level(GPIO_LCD_RST, 1); + gpio_set_level(GPIO_LCD_CE, 1); + gpio_set_level(GPIO_LCD_RD, 1); + mdelay(50); + gpio_set_level(GPIO_LCD_RST, 0); + mdelay(100); + gpio_set_level(GPIO_LCD_RST, 1); + mdelay(50); + gpio_set_level(GPIO_LCD_CE, 0); + + /* Start the controller */ + lcd_set_clock(X1000_CLK_MPLL, 50000000); + lcd_exec_commands(q1_lcd_cmd_enable); + } else { + /* FIXME: Shanling Q1 LCD power down sequence + * not important because we don't use it but it'd be nice to know */ + } +} + +void lcd_tgt_sleep(bool sleep) +{ + if(sleep) + lcd_exec_commands(q1_lcd_cmd_sleep); + else + lcd_exec_commands(q1_lcd_cmd_wake); +} diff --git a/firmware/target/mips/ingenic_x1000/shanlingq1/power-shanlingq1.c b/firmware/target/mips/ingenic_x1000/shanlingq1/power-shanlingq1.c new file mode 100644 index 0000000000..17fbe1cede --- /dev/null +++ b/firmware/target/mips/ingenic_x1000/shanlingq1/power-shanlingq1.c @@ -0,0 +1,140 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2021 Aidan MacDonald + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ + +#include "power.h" +#include "adc.h" +#include "system.h" +#include "axp-pmu.h" +#ifdef HAVE_CW2015 +# include "cw2015.h" +#endif +#ifdef HAVE_USB_CHARGING_ENABLE +# include "usb_core.h" +#endif + +#include "i2c-x1000.h" + +/* TODO: Better(?) battery reporting for Q1 using CW2015 driver + * + * The CW2015 has its own quirks so the driver has to be more complicated + * than "read stuff from I2C," unfortunately. Without fixing the quirks it + * is probably worse than the simple voltage-based method. + * + * A bigger problem is that it shares an I2C bus with the AXP192, but when + * we attempt to communicate with both chips, they start returning bogus + * data intermittently. Ususally, reads will return 0 but sometimes they + * can return other nonzero bogus data. It could be that one or the other is + * pulling the bus line down inappropriately, or maybe the hardware does not + * respect the bus free time between start/stop conditions and one of the + * devices is getting confused. + */ + +const unsigned short battery_level_dangerous[BATTERY_TYPES_COUNT] = +{ + 3470 +}; + +/* the OF shuts down at this voltage */ +const unsigned short battery_level_shutoff[BATTERY_TYPES_COUNT] = +{ + 3400 +}; + +/* voltages (millivolt) of 0%, 10%, ... 100% when charging disabled */ +const unsigned short percent_to_volt_discharge[BATTERY_TYPES_COUNT][11] = +{ + { 3400, 3639, 3697, 3723, 3757, 3786, 3836, 3906, 3980, 4050, 4159 } +}; + +/* voltages (millivolt) of 0%, 10%, ... 100% when charging enabled */ +const unsigned short percent_to_volt_charge[11] = +{ + 3485, 3780, 3836, 3857, 3890, 3930, 3986, 4062, 4158, 4185, 4196 +}; + +void power_init(void) +{ + i2c_x1000_set_freq(AXP_PMU_BUS, I2C_FREQ_400K); + axp_init(); +#ifdef HAVE_CW2015 + cw2015_init(); +#endif + + /* Change supply voltage from the default of 1250 mV to 1200 mV, + * this matches the original firmware's settings. Didn't observe + * any obviously bad behavior at 1250 mV, but better to be safe. */ + axp_supply_set_voltage(AXP_SUPPLY_DCDC2, 1200); + + /* For now, just turn everything on... definitely the touchscreen + * is powered by one of the outputs */ + i2c_reg_modify1(AXP_PMU_BUS, AXP_PMU_ADDR, + AXP_REG_PWROUTPUTCTRL1, 0, 0x05, NULL); + i2c_reg_modify1(AXP_PMU_BUS, AXP_PMU_ADDR, + AXP_REG_PWROUTPUTCTRL2, 0, 0x0f, NULL); + i2c_reg_modify1(AXP_PMU_BUS, AXP_PMU_ADDR, + AXP_REG_DCDCWORKINGMODE, 0, 0xc0, NULL); + + /* Delay to give power output time to stabilize */ + mdelay(20); +} + +#ifdef HAVE_USB_CHARGING_ENABLE +void usb_charging_maxcurrent_change(int maxcurrent) +{ + axp_set_charge_current(maxcurrent); +} +#endif + +void power_off(void) +{ + axp_power_off(); + while(1); +} + +bool charging_state(void) +{ + return axp_battery_status() == AXP_BATT_CHARGING; +} + +int _battery_voltage(void) +{ + /* CW2015 can also read battery voltage, but the AXP consistently + * reads ~20-30 mV higher so I suspect it's the "real" voltage. */ + return axp_adc_read(ADC_BATTERY_VOLTAGE); +} + +#if defined(HAVE_CW2015) && (CONFIG_BATTERY_MEASURE & PERCENTAGE_MEASURE) != 0 +int _battery_level(void) +{ + return cw2015_get_soc(); +} +#endif + +#if defined(HAVE_CW2015) && (CONFIG_BATTERY_MEASURE & TIME_MEASURE) != 0 +int _battery_time(void) +{ + return cw2015_get_rrt(); +} +#endif + +void adc_init(void) +{ +} diff --git a/firmware/target/mips/ingenic_x1000/shanlingq1/spl-shanlingq1.c b/firmware/target/mips/ingenic_x1000/shanlingq1/spl-shanlingq1.c new file mode 100644 index 0000000000..33303c5e6b --- /dev/null +++ b/firmware/target/mips/ingenic_x1000/shanlingq1/spl-shanlingq1.c @@ -0,0 +1,116 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2021 Aidan MacDonald + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ + +#include "system.h" +#include "clk-x1000.h" +#include "spl-x1000.h" +#include "gpio-x1000.h" + +#define CMDLINE_COMMON \ + "mem=64M@0x0 no_console_suspend console=ttyS2,115200n8 lpj=5009408 ip=off" +#define CMDLINE_NORMAL \ + " init=/linuxrc ubi.mtd=5 root=ubi0:rootfs ubi.mtd=6 rootfstype=ubifs rw" + +static int dualboot_setup(void) +{ + spl_dualboot_init_clocktree(); + spl_dualboot_init_uart2(); + + /* load PDMA MCU firmware */ + jz_writef(CPM_CLKGR, PDMA(0)); + return spl_storage_read(0x4000, 0x2000, (void*)0xb3422000); +} + +const struct spl_boot_option spl_boot_options[] = { + [BOOT_OPTION_ROCKBOX] = { + .storage_addr = 0x6800, + .storage_size = 102 * 1024, + .load_addr = X1000_DRAM_BASE, + .exec_addr = X1000_DRAM_BASE, + .flags = BOOTFLAG_UCLPACK, + }, + [BOOT_OPTION_OFW_PLAYER] = { + .storage_addr = 0x140000, + .storage_size = 8 * 1024 * 1024, + .load_addr = 0x80efffc0, + .exec_addr = 0x80f00000, + .cmdline = CMDLINE_COMMON CMDLINE_NORMAL, + .cmdline_addr = 0x80004000, + .setup = dualboot_setup, + }, + [BOOT_OPTION_OFW_RECOVERY] = { + .storage_addr = 0x940000, + .storage_size = 10 * 1024 * 1024, + .load_addr = 0x80efffc0, + .exec_addr = 0x80f00000, + .cmdline = CMDLINE_COMMON, + .cmdline_addr = 0x80004000, + .setup = dualboot_setup, + }, +}; + +int spl_get_boot_option(void) +{ + /* Button debounce time in OST clock cycles */ + const uint32_t btn_stable_time = 100 * (X1000_EXCLK_FREQ / 4000); + + /* Buttons to poll */ + const unsigned port = GPIO_B; + const uint32_t recov_pin = (1 << 22); /* Next */ + const uint32_t orig_fw_pin = (1 << 21); /* Prev */ + + uint32_t pin = -1, lastpin = 0; + uint32_t deadline = 0; + int iter_count = 30; /* to avoid an infinite loop */ + + /* set GPIOs to input state */ + gpioz_configure(port, recov_pin|orig_fw_pin, GPIOF_INPUT); + + /* Poll until we get a stable reading */ + do { + lastpin = pin; + pin = ~REG_GPIO_PIN(port) & (recov_pin|orig_fw_pin); + if(pin != lastpin) { + deadline = __ost_read32() + btn_stable_time; + iter_count -= 1; + } + } while(iter_count > 0 && __ost_read32() < deadline); + + if(iter_count >= 0 && (pin & orig_fw_pin)) { + if(pin & recov_pin) + return BOOT_OPTION_OFW_RECOVERY; + else + return BOOT_OPTION_OFW_PLAYER; + } + + return BOOT_OPTION_ROCKBOX; +} + +void spl_error(void) +{ + /* Flash the backlight */ + int level = 0; + while(1) { + gpio_set_function(GPIO_PC(25), GPIOF_OUTPUT(level)); + mdelay(100); + level = 1 - level; + } +} diff --git a/firmware/target/mips/ingenic_x1000/spl-x1000.c b/firmware/target/mips/ingenic_x1000/spl-x1000.c index 284b963e97..72dc53b2b7 100644 --- a/firmware/target/mips/ingenic_x1000/spl-x1000.c +++ b/firmware/target/mips/ingenic_x1000/spl-x1000.c @@ -34,7 +34,7 @@ #include "ucl_decompress.h" #include -#ifdef FIIO_M3K +#if defined(FIIO_M3K) || defined(SHANLING_Q1) # define SPL_DDR_MEMORYSIZE 64 # define SPL_DDR_AUTOSR_EN 1 # define SPL_DDR_NEED_BYPASS 1 diff --git a/tools/configure b/tools/configure index 2e06b03717..f32c5d514d 100755 --- a/tools/configure +++ b/tools/configure @@ -1597,7 +1597,8 @@ cat <~2DE?*F6nrMITK+N!%=y?XUk^`_g^ZxEJfxd{JH!~YLuNs2K^ zlBHTn!SBo04@)T|q5nNgL6U^=s7<%pd0fx76${}MOD%;zmLHk}e!=(13J4SmJSZY) z?G_5QU&wofoKwi!e$MedBq+n?m$`Y8XO51cpObKiK!d;Kkc@|%TMUN5}z zTH)o%g_mC~JoAEg-{bjP9?0H&fA*&Pa}(~%-*At8{awy=cX-#_>i_d*@5%}8k9j9*yYaIm%GBw`iFbgW$u}mIcHvGpK)nk*r^xgk3Gvd z^l$DVC%A{4=p1sQJ?6yxm=kj)%Z@oQb8ykp2cOt|z__lxj_lg~uyR|n+hI#~JCtnq z!(d%|91iO)+Sv3SM`Tve_Bt}N|1p^{ zKm2dGv46`QeVTp3+1^Qi_ltI}cM|M8|76(t9@z!%DHk}WT}R)*S}*@;qC_u_dQ&g^l0Ise-$47xBtkK{-aNN|9Z}S_EqP_H=UQ> zbYJ<8JNa!F59pnbybnI}KAGx%@vZaq%>49uxtWVHvzMgjrqlCt*~PZq<+>T)&lUW9 z!LtjVTfm#)7vH#o>+`p)P{`wT^YG@_zT*^Jui*LORYYPKk|ECV3btFw+l5@-&)Qzz zqj&d@<+scM!1y1_56uC9X30trT*O`1_ifM5xp=+(OwRAl`ss|H&H1@}0qbnWPpAFv zwBMEXI=f-c;x1>=5@%tjGjE|gXTCdot~+P0JAZ+Uwl`y@ z_w97=t8ct7|LaZp();9d@1xJ$_dj-Ce>?yDYq@7$&BLC4*?#IJ`^guaC!TYkc*gtJ zQ~qO5_>ZFW|I2^masQFW{QDmCZoJdJ;-=i?6Y~GK(Z1{k`_k*3ORjM*`lol{mHzpc z`{!QfopY&k_9gb&7ujcBXrFez{kOk6CkQ*oJ%P-_`uOqg@n^f^&URqOon>>&A9IF% z$nnnTBivDkyQ9X!oKa)#QDgJM_8FVwmfdHpu*{x^rguItz5VE=Y`f9jfzhV@(mU*z zA=@98-QfUOZbfXT19N+h$&ETRH|nt5zK7-aJnQd-n;rb_sXl?i!XQ1z1TVD zLbCA}IA@>lo_(%+*5BPT$Gc~o?Vf&?d)gW9sj$=CQ%`eGJlP$4oO95T&Vfg`2Oi-a zFxK1uaBsgu{r$%H`yT9%I?&(y0B^7Ty}$0~?m60l?KaBYX>WJOy}TXw_GrDAU$npa zJN(t#VNaO5{hkgt`!BmYTkha)yp6xn)-aEoyV2IdoDH{z*+tvN-f)}zdRy6RZDy~r ziNnoaV`H+_%S>$g(iB z-aGT}{`m9!b1(ESxWvEsAO2-mc~@NHUUj|u&l{an2=y^-ML>+xJkbJxz#iiJ!D)P!w zEN^BNUmDuP0k3of{u^%>KSo((@ii+RY8fwG=|s3H(KZ7?aD5^OXq)By3}>!HRl5sI zmiXWnU>FM*dGi;#^A@;s0j~3$?`AvS&T#(wjq~MHXUY`&lTYlAKXl%I&w1lb@5NWW zr-7_b*^?f#@0ygqWukrk?eZgZPk-KG{{PE)hf*yPqXcy$B(x&ejS`jXWZYGAd6>Y74TO`)>dPgQ%V zDws=a`dKJiRUyT#qN-3)Sx8p;+`MEZ%uQ6fu?jz$EJPB*{79nQyl?{Ma&y87m>Y`w zR;<9yH)DZ$W(Ojum-Y* z#-cSg`%TSWQwz**Zt+`M3$1O1w)R3tM`7Tg!r&o=A;StoM-+yQ^oOtPud;@_*1FDm z8#x$)WU@Y*rG*+ z#f$yUP9LvyS2s1rvROZ$hh)J^V&5{fT&NO7sBaPxPXY)p;#5GFF8aJk_TIZ#AWPdy zhvm^rr7ep=kS`*NgGFh--`U^a2zPc#z zxrl{ORjRM~(<430<&rANq@-f`IopGwu`l9D;39yB4nUPXx4!i~o)bx}IHu$IS;z0n z`HR#3{3U4IxijWC-^_5n{Mz~S3-_Z>z4tzVZtA`83K5QfKb?Q%U%C4q&Q6?^x#OP9 zEq7#Zyg7H>4f(6D$zOS8{_@N1e_U!`e35hRdEOakd#9Y?op7>yG{nWDorA}^`yJx# zeUP`?e%=my`CISeZ~SL}-7WkzH}Y0m+iPFhYZ&I%4RI?w+*q@#)w@#4l_H*`dXgl} z=K)8OY)NwQ3`>T1VTcLBK>+(A93);&0Lg+AEhky|CUKU6Bo`#c*ZrIb$Hdi&%eE!i zkrZE23)~P8#%~jM3e%Q0)s>h-dtu$LVUz4i^0IFe*Cs9xS11fWDNnEqt}0(Li{Klgm^qD$P%uXL`t*17RU`<7esx8Ih(GX+uL3YV+c#niNK!FPZtJ|F>m{~nj$3U~biiJ-t*0Hp7uGs$tB zT+Yd6ogC2D0saAc(Vaw@>f;T-W+#t+ClaR!ACd9c3GFA~HS!p7B&=e`tnFrPCyyNp zF1jKd{&4v-b)5n*-NwU*^hM%p26em#K>!Nb!iBkc^K!H1=?^tHDfehO2Q?bw^PhrrJ!&$x236x|xzulpKsHS;k-)GKCw3 z(`D8zvo2Zfl=a0hW-L~W#j3ejwH9mUV$E2r>13+5SXCFP%0g9Hpy>F*~ z!VC10Y2gK0NZ5Qm1e;g1@H{;-pBoa>CXH;K7M`bt=BT0BYG|e!o}osjE0JkRWV#xi zsYYkfIu_V>YHUWorbMT+$TSx2F(vXXOyz3?_@?PB^c}NiGIO?U&6TWqMGMW9VBvXE zWS$faY_1fUBZX&6p;=PsJ1I0>4o{OK)1}A^Dg2!jo+*WA(bmXZIWkWU&nJr%O|j-_ z`aDgYhg?$FLdF)!GHfwSVq`K*SuCrIWQ~j|iy0Hv$(XPuOzDzgcqmFYx3sK~;ZZ6| zUR7Uu4%be$O}ijNYsnQ{8-$NCHzE%Us>hV)OxjbUR^y}GX8)DylixzsFi@mNTZYJ&KxPpNK zNTA5kk;*cO$yA~VBY9t-D4RJ*aE_C4b6Jw&(EpLAf=y){{eon^2bRqv_|7Q#4wYXR zB|{~^jo24$Ct`-P;y?DX`B^DQC+0^1dxIhTdqmv=)x*EV0?^E7?R=VJEHaemtL-{4 zPHXuS;h4)|8Tkt!&Le|~-%<}PdIucfGXZR#YBoFxeh~3k0KqxGkQI!YDnIJ?^)sHg zIOomn@}|%8r_OLc{jdGO=h=5YNl$*O`>AKs4?U8;_x|+lccrhtx%YPQ5+c}dAhEG@BaZe5bnC8=Gq*2Q3Ym!dCG^iD-zsOa;V zK8NYERAZ)Y%+U1dsy%Lf2rQYw8qL{aDf7V)|s5 zVocV|$$HUVGmO^^{WV>mtZS2X^;J!IMN?kZ)aNzrDNTPu)1OrJCls=OGqQg%<8fv@ z22+ej72^@bd{{9bQSeiLTo}_HW9p+!eVAzvGHnvm?qk|Srr*W%JD72sY~CuHw=kG- zGcyCbiJ7no{hDk}kj)#(j2l_eZcxmkG4loLgj4Bx(0c z`XtGiB%6WVFPrzv#(k20ucY528xxs%Hwy`yC|mc)*1fWIpKMK%tp}O)5Hla<_6V~c zVdi7V4NZMkQ=Ze5=N0w>lV4=gOH6uMmV1n`mznYsQ(t82%S?Zb>2GrT57Xb0^|zV+ zuB^W&>mSJahqC^$tbZ!$pGn#jN&B49bDXDZi!^nyrY=#HE(P_coROs*XXL%OxOmNi zHTZX6;spzSQv0E1fID)eyVhFn`WtwgZtidU7k}s7{Jlr{2aoZOI@&+!B>(L3-bEL? zS6r39_PWf3329K|d+&1}e9(XNQSZqoofluszwt))gAdYQPECI|GqYe(E}fw=%k=;% zgPFX$B;%xWP7cvUnuG#QKuKlTbF-e4@tm{|b2Ip9J2~6V+qT0?KWQ8;FqkuB@(F@N zP|iUc*3H|FZFA~{6eV28iFr!w1`0+FLEv7X*62?JOpE&9w*a{BJLhkNyQr4%fbevX zp{O3I{zA4)2y07c<8@o<9D}CtL z%)a|)cHTX`+16cauD4{^DxIx^IvZNLY8%qkb-BuFCspNhQL_NGFIwS6AXUa)E9w|w zTetF>kyW*{qINN*lZcM8NEib~M&@aWx!mTc3D_(x@tu~Kp(kc&iRmykK23>z%VOWK z=+|=iE7|&7GCt$>scd}8j87Hw6SZg`tLBHA`JQUNt(yN)%r{iyRo!?=GhWd2=QaIV zO@CU~pVajybp79&{g|SN&cAg@irpPD3n0%7V{wA>#C3d`|jFZ%3CG}`YJxWrKl(i#dZ7i8`w9Jkp zlaH0bg zmSO68MN`&i%6dJfuFHf`1 z6#0B6UBILZW$B`lUCgA58M}zF3mLnBDHk*SN@iTkbl7#WeuJz}kd2#V)Ia(ivVOO$ z-y`X$86J@I2POSsMSs>b-m;7jOyfh-_}DZ)GmXy;<4eQ%N~cQoTMc!rF zIvmSHlG#`)pR97KYFsd8w9VSu{K12qp+j=3u9Dqm>)fbO&Y_36#~kgRa*BQa`MImF z&fIxt_hXNDzw~nE-S=`+KDWP}=FFVwfEmx6m76}(o;J&wHQ!m#X?JJyIXmZ|lmdXk zpun2YF7^Ga@27pQ+xOCd0W?ta4uLBE0eY#J=RFTXMAj{2;fv`|yBHwOlYp0ThyWaL z19sRjL;^?hBx0a3%RvDi96*mU(c}A|@K@db8{sbLH2_g?RU)X!F`py=XbFf!RYwqv z=1NggKw|jAB+sWfG<#WE1@!hQN=6n|K!_$X)p!<{`w+gonythKJY z(yC6!V5hR)mJFfr^-CF}CyMbNGyWqRugT^s zvh}hYdQJ{M#v+qg_>fSQO;+|xl9?)lrx!f22)OF%Bf5_g()X7eGL9+7F?K9t$1rv@V~2AD+EZp^jP1c_4f|`!_GB__4{p25@{Y2+HIsp1Tgvhl z64~aGys5-Ck=VwPvZ17GASvq;aH_z?b(ylE!ZuOZ#tPd=VH+s&dQ4iINq=I}YO=JF zEDe+8fwJ5t%gwUfD9bgn9Flt$NtR()L?HMXq+m=|n5;5c<7)(93Zq5lEBw@%Y;vno z_CBxQ`G94aY?OUeEq%S4uD@poBl4eNK97$RvNog)xgE|MYw_9JITWMr^ ztu@mdY>?e@OMAzioW1vP4mrdbH_kcZ4Cnj{+{>?Uue&aP(@p7HZt0wOPv_Im=H7hU z``}}L%2fB;nfW;jGmF#dG&OCrXyl^UgGB*-Apk-^AQhH47ttyb6G=JD!vPM4;%oyI zIREA912t)}khH+j8?@F191|jg2owCG@eBEa`^o{lvD5FjXYIGbT`07KugEDEtqKQ7 znnR}14_@J66CQ(5agf(8vKFA51FnK4L!$LETpiBkpjBk@i#t`-4a^eUzgARn_;< zG}!K%wwt2v!qlB*bthTbk+DBBwi%N*D%pmL3;^3el{e7j^)vl&xKv`B%jptr%kz<0w`Co2s3qX%}kR6`FRfrrx9}x9Q46Q+?3X9yN@| zb?e`1=qVO@LAG9#jCUpNGfDlgq|RX4EXA0mhi03RSypI+`Lx?$DwBs;m0ztYdGqae9-@my8fD|oWs2zN=aMZ+P-yU`%uK#>8# zB^?|t38K|NPlPg%YX_jhF7=2}3j(R3GNfI5an_l&(EV~6hW^kKp7dB|!kt~0U$^Ak zOS?}x%N{$- z2CA$>V=abSYp7LZN~Nx*xK$WRg`p-5HDRbxov;lEXQ+y%F;+w~4miLZGQu25Q+o_C zFoAM}P6!KAB?TtJ0<~mGl_f=3`7sejBtf6VWNuO^St&gd6fb}ugnmk77=Kwjpor%u zB7Bp9!8$hqkoeil5U;B|jbOJjcH+<&z$6H7(RjQp`&Ys1^W36ywk_;2~ zQo5t!USeMwJxbPhK_;j?dns4N(aP48pCV&biNWxb=N@IqGEBoo_ZS#`L{k!)lGIp* z#;P<{qp^C8HE2q+##%MjuF@bS(DluY%_&zt1wjN zyEC>oV~|`9VDcdfJ3?W{D9W)+JC5lm$i^wMezvS$AS;*4>^fP#MP{JUcPsims(GKG zKWM3s8|*12JugYGNz!`~aph@}G*6Pcc=7S9uvbw9e>!`W)p9%U+;z~wonwzkA2Tk0 z(rM1w=eZYO;a&?*w~6i}k9jY==)d!>|HV{)#%z1Rl1x`Ni+*(KpCNLJK6C1p!B9`$ z*#^B9SU`h4NO}PGMPEFrxnR4z!;V_TBrQPs1>5D()yiuE^n;;o zV8eqUhn(Z80yQD1FBJ7)mdwqGZceU|qXFV#l%tdH$~f~no$2#Pto`6i`_*^c$Dj3X zndn_`xqIwMxxMyJZ@qojTI+YW54B@e1w97yw1}gIa;lluj4oa8)bxd_HeW%fl=U5p zPE+DvE3vN>;|o>$P(!bh@o&Sr(+pi@hQ=GA;|=Q&-P}jhcUHA+RAm!YSyxm3q^T?G z+Av)kYG^}sZHTT8($zLyY1EY(U8&H4i)u*MOkFn&(=aSkGfh>88LFp(`dg zO9y_crZ81CRRw10Ds9!s42_Yg2q?OSy-Q3@Ra6-08{saIO-*JhjFBM{R89jdmkcRk z#O)X@BBZEtk6|0%mkvVYkMNBj4X04lGNXh*IF7#o))2nXUS(E7H%i0f`H|^pbU<7W zQvs-|Y?O@fwLhZ-iflkbD8EZiRl!z;;f5#x2||WRI*fz+QnCg8U`rd**1qlj+?6+G#$TKpcZ!42LObo@Z@h)K(i%?7z+6RjR?^@kfNoqk zdS)ea3EY45*vxSJn`r#=SnPvH8_ELj<52l&moBBzVEm>viu;Ons-fGNTx zXX8X`k!ESeyY!C1L2v|wao5O!01C?js8nQCDl_~PH(tIj(KuqA|kPMBH)w!06sNi1Hib)I4ZEAW+Vs@w3<(;kiX^8_|s64IZ?D@U8?2koBS= z#6=lPW0jiRpvfJYJY1DmQRUTDc`c1?Vkm#s)g3i;S5@0f)elhgBUJrFRXbbNE>YBL z6?Q9Q_sHx4nLR40|CY>WB=coSdRLOZkfd3X(pgcJX&;nd?N9Een|nL$;*Z|nKjLWr z#8bR8&v7ol%DwAu|FOpk&%fZm{f_t5SI)czc9x(w;}!-repeXY=TR%k;jyqXmrWJT(%NW_Y0p$H2{SR|rG!+I=Y#3N=RVpc@W zR6?(;&?+m`%A}e~s1$dlEt)SOi#v)WXyskqM=wg6b{j1g+gY?Dq7ew!yzjY zvarTxN<%?x*9}u6a%`xe#=?l@0&y*FA*_`W`jW6JYZ6%i4D^%ZD`Z!QufSSZ33rJL zQcJwp7#D?`N+a6lK}(mHWFoaFkftJ8Oooa6DseOpuH_KUNt!sRBpcjxNrn-U6>*oC zG{<%VD**uIt^B1s)Jo_O;0OW%^%RlBxe1Vp1^`|AR8oE8SgCJAsiewNp2ci>V zbwf9jy3wQ?BXoT|UE5Yu_EeRF6?T-&PLTC8)Z`^v)eUMA9(j+;+AER_0RDty@Yj+A zW;`1n;qhcwvNAuQ+24GN!XbzHr=I3te2IU{tr*IIVRr76srI+uWoOOlp1-hraaT4C z6oCI2XjsmMDv_}XcLB&HBi|;d!lXxH!N4mtmkEL)F_e$t_}xG*zmVS!ce#{KKGX0L zN!w&_IH92tZpQV}=s82(HfIs!TmP*Oy~m%)Uw2#gITvTgo#KriQ`lxFf6WcuK_l$y zhMX14N-QJEoh&pvTs^g_<%7ER$rbhgGNX5Ek(;&nHCp0QE%A3fewqm^dFSEdG6S2k2trYb9~RFzawDWxi5`KRhJG$$*5MLn+>iqP-+R2#I=@h?LlLHwe(Y% zxKD&jHw?%JmLoSw$q7tlcote^T3N^Zb8a@7;c{{qU2S7vJdqU`l%0 z>})6L4_VhGSRmaI9qmvO@B;&&9Qa9)Uldp_e1I`30I&>60s_VF*PG!t8#h687g`K& zMdWNJ!@DKS$GUw6-c-R~4$Q3e{9uRaI7HRj9HmT3H!SRVFJcD=Jc{ zWU3-j5sz0y5)~m}a77}XjK|}#cq|r+0&-(yK;RHJD;hJyF~f}Mdd$$HVIv%~LQ&HK z^oC4Y0KJfY^`hx|k>3JY8SoXLONs(@xhty9grTJ99BT#Q3f-6EE_FrmPBYP7FJdro zSCAUg8YzroOuR54Mk^AavM)fD7f6DGV(BNwwe-R}s5n^gRx#gA@Ul_~7JXDbYuXxC!tqc0dN#P?q0x zp_J_btgMT~gz0(bd4MbJxP57)vu^h1ab?!TB-I2pY&qDE0v zat9GL43FXpG-Wh3mGBJ23&=YZRRyjrwW>T&l~+~e^;L%Mkv&yytfHO8wCg18VM(1V zDW8)2gz=4}&yeLQ`elB`tjvOi-DzkI zV6t4uh4T{_E+=bzpamSH;8+I7r>v7lEc_aNuOb7`@3-Q+V7nyh()eI<&ZRnxTt5K7 z=r;Ren)}K--aU``7hPL8_H=jDnB11zWk#%)u5HXEs~t0L>yhqAVqU81>*|^ht7=|J zRz4a|+@eP>)S}0m@q7BegY=+S+Js zZLFp?QBzw{Q(aM)O4e2+YLdyyWD)?IOr#Qt%0!|no~Vu|tAWq)ic~b2h*m_S6_Ggo zjU^+oL?jlE0Cl5;y-~v7NIV>g5!VezNLR23XhTse91n$KJdP9v3u1y;Ow%$2*)>en zFch6QuBK=v(=1st(WoO+HJE^3g#fvP$>b7+)~g{arUYY#RP0|XcFllgm72Q6!q1fi z>T@dZIVavl1X0A7uZc2Ju`2=th)_?#1S<6uZXPd^l>;EC65&S)?gE@9@W4`p2musC zPJ0_A5S0hk2oi{J8d`*t(jKBRDKS)WXz`2AUqmi`6}T4it$+zs?O7u|9hxu=H_0~( zC@XeVQhU54K#23=>O{trFi$krOE^pQ1`_b=MWCeQkiJ3(CUhiB?6Fc>5r>_HKHU>d z#}YYOx@=@NK^|H?oOyGZ9gkdyIif}@yEu`V=(K~spQ%uvoT%xu!QDhfMSWBHyUDr&LbMR{CkDo-w1bU?h5kvK+Q#yD4+)t z?$3*sxZix|zV(s&$g|#6w-$~&tFZm3!tnLISW8~gbCQ;o)NV;zD4Wyu$fwcd>#3?I zs;VbeRbQP*of(QBqJ{pV=qsxVJg{WTk|QxAmNXI-Myk@Rt_s&y$Lebm18S24>MCIM z^%Zq>soL7gnwsjGs_L4`s+v?~6}W8@a9bIVb0gfXN+ikR$y77}wwnwm60ul38BHXC zzma&Hs4hS^0*l5%(HL>vXw-~G%t)9^x0V$RhoX^iB#ecGUea-Upcl{#^d+4EtXDH& z=uiu(WEKDzvJT(nXnm_sj#tfDmXb|rOe@lLLi zx<}1la=_(l(MeuR1Hhw1g6pz0L$sUV}2npXt$`qNLq`euA)SC*Q-v| ztFBO^x6}A@_NF9##+}sWV`x%MgS+aQ{*Jp9jyTpo=K|-(Tk}sp>wNTyH|;xbK_?t{ z;oNJ}oH|5*$qxVv1U3tBG z+$r9k`}rGgT^PJtA=%`~Nm~kK)yNVRQ83>@uu<}DAQuO0 z12}V40>VVmHw~T-MXi^F2rk@dGTM+OFa(;A2pmuq{3aM9RO`_rInHp%5g`F|d`);$ zT0|$Gke#s>KZSD}*6@+TwHPqEY+-c8#f!#uLs>zeVVNNr95=}NE+U?)A+u<7B)*_; zM?(Vv?s?o0Mt1P5DW>3^Ka`E=#57^NO@X=%ZhgpUs_1CNH=#jdAtj=9-O|cTH!QkR zA;uTiMQMoK1Ivs{NBnpOP9=}V zACg!#Q%7p%X1ckHt{q@#CmY(8ns%?Oz92~-OVW3$(OFfSA3DNYcLQ&$KRf&Emp|<+ zd%|t*6VH3^O~G97F2r2`2T7$|1NcZqX3ODjy<(nK@l81X zff;#Tu_nsKg_1f(Vp}i_1Z5_){*Wa_V`>83U6t|b%8I(GsyZwU1L_;=2Q=00in~<$jc)Mbou=W=;a`W zlyq?TM3ZsnXatH_E{;M+!vZl!xQOu91!1tzeW3E7_A74cX?swvFJ;sX3YDnbO1>yK zG!BAVlp8f;Xh9uIbuF)KdFzHEu?g2faEzW*x?bu}CBx%}Q4JuDN+%kY7mjLFHg5 zO{TV?VeG9LCn*}n#@{Q;&&%@rGW%MR(F50w0U8x`&L&&B2Or_$t0;Fo;Jx^UH{~nL zd+l~%`T$6~q&U#LJMd`0PznGsje(;@01y5kNZ`Yb!t{$f{Bn#!s02~J{NJBVXMk{! zFSzM~2Zv{_tZ;b6@M{c@z+^+n6|S|=teOtI?r0QBraP0x6dG^5=il{!KmKC>pd-Ak zxA#_F!>wuXbee89Cz}iPnuByN&wXf{r(UaHPi~v{0EusVpr71q2IZ zSV}*LmX$ApMF~J704gp;ZirD8#b&T*6&K54v4xK!7A)BE! z#EzHqx92iT&G%xPUU0i!k(_cbbyymFQY6a70J%HEf(01^u;;keI}b&E2Af0<^L*!U zN_k(hV(Ub-QA#Zp+Q=8-{l`IB$!E1x}gCYgHi*anef~1Tj)t zI5w7v;&7h`u(CWrZWTR?*sEt>>_fUV9gvGYLa3l9T7vy3gr7vfwTP}asrt%_wz151 zlH~(250k20sauou@Ed9veQ%4kxZT|0{%IYWb$I_n{nO9&Zo1QX>Gk|q({i0%=wbu* z64+$mhzg$uj98=oBmAc>{H4K1OcD!Jrn%2NxIsV?#?Y)^(edo(#9hpSnZb~0INH$| zG~5Peydj@wnr8^(fcZ>BIee)P^Wdf(8#5|QnVNm>mE43o^T(WGZ@z=mI^2^CkD0a} zP8*SVdiX0f^p+lfB9yv0lsMHgwl%a?O|J&eGb|PktBF_`z6Q0`)dTA58XE^RH#au7 zG&MIjH#Idk0(yH;cR&$#i3Jnv7Lk`@FW{FDn6S6^lHyB6pQQ(M%R;=!ECs)!Fo}W{ z6h#rB($WWdQJ(u||#wvdnN_Z!*^8*Wt?bF_Qajrk{@&we~5J9|#9 zyE|{^v5=Q9d>ROa0mW!<6Yvtd1rno~O+zcF5nk+>!*DkmI*W-2c)DMn>(Uv1Hryo^ z519oME-bOrU3PcI$-qAWH%vE6k42*!2?%c)x8}EB}OtR0qpnKngmu$F2 zcgv7$wA#^Qc09GDqH0i zjNU z?jCFcnK!_XOS-jCe^$$+@fp1BNx z9zh7q7$*-Gc2t5x>bvYRrCYC2}54sZ=RE9jppLKR+X3xuhJ)J%@e$(CgqfX9lwNs{b zSVqU#2TWI^cj~e4qN$JKsb`|e30CY>EwsC;udb`rI=r=^kwrrAB&e#c7*JmWO55Jt z($Uh^(c0eL)(YHhZXt<*11-odNe#iWBG4-USggy@m#A3*|bMiiex(t_fa_WIYCHy1d10pLiNgg7}n<&a(HSJVQzgIEeW}&Hae5O>f zB+-)ZSk2yg=lroJ+t=S>zxZl?>espX3v-zaa2GQ@U@9%(E~b2;el|c6aF?8@0J&(J zqw|gbMe`dZ7`^*6U%{`#c7H~`OJo;{0uAWHjq-VM$z1_bH_?2W#{ykwa1#UKKJuh< z<@LESM|Z8WSy$_@e4^S_LynAjnIlux*h^aUW-WZ08XB#JHq*i*txz2tViQrbq9RsR zovf>?YHqA=Z)qOX+CI2#;Goulz}?mss0$5^P3StSCsDVaa5q>4`YsE4h3pWl1N0Tp zTa+LK_Lk7MFXiovyFoKhv;+f!B3Mf=(Crs@%S&Egxb63q58nM=aJ#J6zuz+PN90e* zffd2;ULf5sPWMgIZ?XW(#rqJvC*?0s?=bJX?TffYe5GE#@~*^^I8xRZ5xlPDU>sB( zK_o6|`RI#$hKB=b3vjK2dju`y6hVHA+;c*qiI8+zNlC0#W+P;_mCTN%8L5qXWz1a} z`dp7MjMe4_ujFpJjX&l{@6xMt4?mH9|C7wDIrJ3_&_J5v8wOSjR!F25ScDmL&=RM) zzcI4|x|#TlI*9)j)!m;Lci}aMF~4~@wk<$6O=>kU9R(0$95 zhZ5%YuwH??TBwQl+nmTA!73fSG!E`%>nzn7(W~;1t*d$j8~rFF;(RxOm(n z_%O|r$p<2m+#npzs6UP;EOrdz2LUr)NSHC45>4K0nWgW5ZWbPOEa-Z7{R zh}+)Y+}_sI*3v|ZZew#}V@pF*3-znDG`Au#y4aSFy8-m}>S^o6cLnH{cen*QgXnGx zgx&yp%avWhdIPeHz%R{rMG?e0c(uQ`1pkZ)%E4eo!aw7w`yL7x5ahdZ>@yaQYiYKkum3sJa&DtUoZL3bD>S|(jwW*rg>dLyh8ZcL&Z2Q2$0|yO(b#x4B zZ|`VrYint1r4BX1Sz^3xO<2<}bh9h za96yQ;)L2tS_)U_Pa(C?A>Ct=ynD0EHp*wlp@iKJo0r9(6h5dZ)q9trSz8*nfN`3@Y2=>+7)2KXf`E)F@ycpr?_!FUoiWH9u) zF+Iif!K$&P89LmGT&9H|mqQ;*;rWRMXW;7o<~unDADh1Frmo3v<)+Ve@UaARo1u3f zl0qiqcV{q*8GX_b-E9QNMNkGMhW$!CYd;h2;%Xr;fVyKkpuC-S>0`n91>NrFGrXr> zbFaG9Ipnz9+M8r*nlrMQR>R%ViaE*3_Y)NlS_Hb=tLh+Alj32yrXtc>=>4J4+e>W_(2KRu8ALTNNC4J?0SM6jUdkH)a4+Zu1_%5@&=ITyrt%}o zWv}8TewLTZ6%6-#oS!9f1ux*IBwLZ|#POGvY&oZ1)-H(ecdzB7U)HAOKlFaj^5Y`Q zFCaK+fW%y)Ac`Q~SOLR$=TQm-`igQP3Ide^ny{p#1atZuIG54<66koNS%AQYp-o_f zq7epAlN>>_e#%;{YObw^_SHidsMbS@@sS#tZ`9ZgBm6Zsw+|VYz3Fb}0z+O5hU;**tw;0VCTW_X3Q`zl=s#a0&Q-4R;}1V-hy_&f)Vs_yDt?Uy`-Im~KBg z*|}_jv;R@f`dfMRt&V18bZb#8H6u~=Rw#0VrXHdwn`_D-ORtEBt*T@a{b%*{wQxxQ zWo>Q-za2Jg=&thS!+J$eTQ9k{FY6VWLpk>?R~rIf-T-<7^bK&g7xV_?00GfhD_<`M zcLn_w=o>(9Ir^d~1jPaYQCxmpQTt=BUO7;XJwdovn)07PntlW2DSt#5$1H!)LAd-} z<%cbg?;9>px#HpAI5>My|094GQeZINYJjXD9p!6LE->;ATQO`JJ$^Kjj(o~!dPz*E z1MLCamnQL%L3GV94UWc)P#9kU^bG-enf@o;*v&N0G|dN%(1%81ex%;69^`HO7k})D z{#7@7PraI-@^uDIteEVZe5*Vf(?v6x(X3oVc*zHVf4mKH#IK<;{A~UVs4-~FM;7x9 z(`V7TGVa$i-Df7}F1smn;BlEXH_unKdJ@C8cNXgAm(j#4(Zp?hkikO-59}BO+$AH_ZSR20(Av^Qx^81z zVsatIYp%?Twz}+7Ty(@^j<*YY&@2I}-&qF7G-T-&0MaCBa zx8>{QKyOe4MF53k#Y@s3DVLQ40mk$VV3+bpafI@izTtk)(l=QU(<^-t-*2E-;^2CE zy%@pIeh-33>?qd#9Hrf{x9M##^+_y0N+f`nKsLN z`7QUVTe73ZcCEQtS8ZEHjo6Cbt(jk$;pao)YfNjbs%@faRS`o=MXcInqQ1Je9`XPN zoprQz4C@%W%8(JOju^hu$e}}q4Q%NkJ+}iY1GI)g9c=^KTRPgB+gr(Rp`{Vd4h;ZZ zh`SiIMoA$6x3>29k&)8749#I#vV(x$WvLB8H(S7c`$BJDzAH3`V(lIPZ{N|vOS9gd zH1fiUWMuZ`=8}#@r#DPHz&o}gGUwwmUUI%d%*Gp%Q|>) zmR^Bnom9k^A9-2f@*{|Y3mB$G8h4_=oJE+{kEZ>r#Dr88;ZV%bLYU;A&)Fs%FQ{jY zW@n?RCGaH{!@~SRG)EK$JcCo?yRRu-S;bO!u=Ep+&~0k;bvZsKT<Sg~o5#7p7$b%qJh-9WP%Ls2Ca57kwq8ft3M6V}`Yx7?0F zLkABXF=W`tAy^L{I%MF$LG5iF?GSQ7a!Fkn2!$6tYZ$54-a>?z@D}}P{BH}O7cLEO zZ@?rC@Gs*_5D-86Wxzjz@503_0N|jfE$BHw=Rp9y0&#6XK7z;r=( z%W=0HaS^7sxU>WfyYo_@3fhB-*@>b#mf_%rElWCr$$WQWQZwGDH7n|guZ}Ne7XwXy9(iBSYa`rUj+P@ z1PL%<%*CQW&E;JepuYH)D?Y(OW7;f5x8PUCCn3de71R1^aZMYjY1^ro(af5tgg%v{ zOQe+3INaM{Ynng(#@n4&-f-a8i;--ASd5;4b1xs*1$PJXeB~~@zmCkXg7Y(=66jkG z^ohibH{Q(o&YK_QZ=IAm`t-~eJKK#zJQi|Qz1xaTi=`&VQa6SZV|8;g&1kSLX@dF2PX#sc(s@p!W4c%&O1KU~$wt?Nk zjkg(2Wv%2=247x2VuJeA2zSdD0lIymSGYQqwV(EXvf+i&6MH?q9M{OsX=&-imi{_I!#;|?fp=f~~)L-#7ry1rFzFC1O| z!OIV|{FJ@6V#i*m?wbQ52FZZC^vOU>a#7p|Fs!4a10T&wB&~Qt4@a4SPp^RM(&X|M z!>3r#p{!yMF@38QAIs*0iXl9xiU|=S#I%u2+dh#_$wvV8EJ5uhWT6X;2(Fg zciTko-H$QxEIw8aITWL6`4{0i;_}`#K7G!wg1q17&%piOXowxyI1Pv39&U(48Xf`&E2(68ov{JC?-1NQObySCiDvwiivS>wyPqw8}`>s2dqjTt?{if$SSx8w7? zm@c;p-;%5!fB|QNItC6IGGyrRp~FTD1MUtRHf+cs;4Zq(;Iz;_5I$ss0KMS5+(3QX z0Kj0ut-{d1286}})(hxuBG4rY94rEW1L!TMyaDb4dIR)b7W4*iTRxs5AiKcbUXBd` z>kY{6@^LqSUcq;P!2$8@EAIXjzFS_Zf^c~OLS*^R|E=)<^u_=5tPURNvI0N-6w5h6 zzbEaRUL@n)Wi&9D+F_Iklat|VSAbqK5;Y=GJrvQbkcJPk&`ibXQzQNhu!gTMKC6LQ zdx5q{&c|X1)~lyfZKSI1sVnCh#$#&ozpN^w)p>(g^S0SJck1};q(`0iKDFm|V%CCg zV!K>qAo>dw6Vv7tXy!;^0J<_GKqh$R9uTzUCoJECyVTi-&(LFxGcXksT=MH9*9#14 z&XLy`jgH_mO3+~F1q*Y}yyzT%rnA{j-L0!GG8-~_Ro;j$GL4Tlb%LVqq3Ej^)&P8| zp{fGkcEFb)>Hv)p4~7m!?-?y%x&*pIh72A&co1L6ZMSDZT3X1Jq0iFBv6pD?(u+Xf za_B9`-DP<=EFXFUg#m%(<8HsuTP`(}^W6Y<1?vsg0)3aSGxSS&i!7q-Prt>Y_|o?+ z9e>39kpsVQ4ghXt3|T8JcvXe^3r9)l4?tUVc%WQBcfbncmf`LTd4d2NPQ3K7L41DO zq>pVwkidT$50}!_4uh?2Xs2oMm(}W7+JKDJl5ZHVDcr@uaej=Xqq!w8>KE4ph70h; zm|)MrXX@#aL3(|fba&<)=goKW*W6_9G&nbOYQ4_?BR|7~sg;5A*_e1@sd0<%_`Ia@O0I z^8Q5JEeG9x`EG!_fkPQ6Z#nMr7iuZ+?dwn$;O_FFwc;q5%x*v+MzfT0+$DJ9Ln*lO zK<)S*5O1>BY+ zE@!+&xDCPrcd_opc)u5S0l;9ry`c9e;%+a#8$hqncf~sBU<;tP7w-0gUf^yo=v_hF zEvLMH;O+_)^FI>(E^`3rTY_G=JP7YIP+5Ek39L5`{g=L-MAR034j5E{Y0b#fj6T0@ z;=7sn6cN6<3ii9YqV1!GFSAlFnN`!2s&vf|Z;j2I1CPe=+3a(ZyQj_SOlP}k$T0Wi z#cU0NMS^c~Zo5>k7KdS)K?e))cd2bZocJzVXTZtnQ@;edL~!ZKXwG2z+&Xa&6@vS%Maqq~Jy^VHoTh?%`2H%WiOyhIYdPLDrm$gk*Z9q6=R;HquW4yMu z9)o!Y4IT`F8^{X)U0|>PSF8m9i**o*fZ)CX^ooE0;AP=1Snm&_yuy*Uug*|DCuE>A z2)lLKDzsutH$3^|$&4GaUmH-%C zZcrZZWsP_^oQOo?@P5Eo@@ViHFc-Qo+|1CkW)R=S7eqs*7P0CKZ52&F(2QPd#3!@( zd{*mK4Rbc#-ahgK=jOXIZ@s^8_T0sJOcYMTC@_MJh7%)PgwYbuEor=jyd*JjmEjk| zT`*~>t*L46^60EU6PG_3@Ed>#F5T{-{jnf{A!`j=koZMmzL8tEqod7)Z6 z6q#e0&l%Ras=2Fbv{*Vzgrl{U)fh;I>1*Jk0MK2DFC#~eL|BmB0C9oAV(CSHe;n=x z(7Pfk?{aWAfZhOi1HKFBE%#^W*P*Nz-z{go{o-x_y}fXE`OquWh5&b05PJXb7Vtmr z{P&#$fg%QcBfM_>6|-bo?1|2Z^=zrZi?uq@ z+PUG_J4WaR%^IbLSB5B_42P>@we{5)-q1F9$eWxg4!X#;9x;yz!<#;tLf7oze-2HKsH{iPg?gowxKwPnu`;;LJDiADvRo*|K_xFt~ z|JSchAnW($0v8{Eub52-pOlM7qQKoa=05}U>huL>3$RQ58W=vTNS47OrW7@$uwJX{ z>nr+cn)Q@X`Bk*FtA2#H%KC-94#=N(>Eb6|=$t;+>2~m;9GXj!q|~B@0Bq%xH-K{T z5pBJ2mv8>z`a)@sW$O$c$Zi22hr@T`xF-Wm9f9G@+VT5$=H+sci&B3UM8~3CUke3cRQWjH<``6IrH-4%XiN`$Nni3 zt98a!iPm=3PL2*9&feZ`0jOIZ92^oG7o|#wjZ+frLhOoZ43xDndc}c9MAa+x9L7QK zz>=Eei*$AEJh?mR=#^T$2C8?^X&_&z>K&E42Iw{5t`xnaa#u$0Ie)F?CtQ>Wh;kN_ zbDaP>Y9f~bG6S2{P}$ek$_6(ZOXN9NA=SYKE(E@Xd|+feU~8L&$uwIFV_RhWnmHJo zwOCl)>FoU(?T!3;7oZd3E`Q0>&S`%cAIT(3jJDLx2Y{Su*Cp;=VJ|Q+KNu^W{Kv%SQ zNj^g)No=6pl}cVIeWj{b3Sd$7Qs%<$72$hM^wQNe+=IAujC32YlY;79(QS}!SrZM1 zk*as(8k;nW7jDCOqIaa*0PQOUuqb&k8I`{0^m@;A;mhBbBQVJkI3Mnc&w+`H-D{)+ zvf%bYG5Zq}C2EGV~b?__W9VrS!QZDEgX#(0jkxwWm8nT3_Y%qqp&c9BouL$N8} zB^8`bF4H9zv)L8eD}Seb_TT!gyR|)Md)XoGlA4gD%R7KaG99R^3(``n7u*GfCzQ}I zHh0MuW*)`U&>W6%mu{&e2@NE{0Zk>eNPqi!yY9hfbjvpD67zLN(-|`_orUu$8~g9f ztsgeB=rl5qv9xw{b8_(Y_6-US3yq2jkBy90MI|IElM=z*I4X82cd6zsyKTeLo zxgsDkbsW7bJ_kE2&~w<7l;%YSuQ4)s$yyu!7PPTKg$HL>H#c{04|g9|XKx#8M^h6^ z3o|<#Y<{z|va_FV?~vgid{sjFYuOb)6}0qZ)H1Qz`o?+s8~?0(>qGUi<9#|UN~Dt% z0@4$R*K;V7gX%d#5*O(JBzV5~LYKM7ir1iNZ~fLZ+xh`{!U%(99=-1Ug5x5cxcQWcAA<$Z)CdJ$UM{1%F)T**2~#Fz$Y*? zB0NeJ9hV#%pBxvTpd{3dixYhYQSw6RQg4B}3Scb`MfoeDmr7pTkE(YEnL7-Rmb?U-in8_go;5zkex4 zfTCBZ4@uflkczxW7eiMd^q|0XZ)s*@Z{r5!qU}sTsJ~x`tE)FMAIwaxZ7l8FoSZ#e zU7TF}ru%iqsP4|Kc(;1)fzla$ak;v*65YI2>c^hy-@a3Qrc2w8{8+54k+m#=yGYr> zpZ8>Kjk1+2r@`5!t6jeicLl)X1xUg!-NymROSsEn>zd}+Dc$E=^$$O*U$8-!T&8yo zW~SLQ)~;P}WFG%_YFYH`qTUJUSvKF(xrhm6RF}>c)^YHIR20 zdPSuRzK+UW5y>KVN21pNx;UhgcO35iBJ_&f9qBeu?uv;GqoP-8^h#ClNUK5W^$uc} zdPo z-32wc@uziAP`Ib3kF|{h_Ol_S!OPiWx`(@~yR*A@PH^b*jN*st=6z8!_h?4BIyPNb zRMr27+xp-AP`hhCbGjRS%7op+l)I!ekW|?c?&3!5Um>UA0+cTOCu8ef;roMd$0iO^ zLV}nKa2OzVckI!>@Fsix?My|7-Zz1<_R$$T^jbOYHMM+pn$f&zrm;45PSZUcLwxc99u>W?c+a1^qpq=u zs`q?cUJ zEWgc5KLX;Fsi5T>6w~My30%tHEpo?DQioLMMS(~&6Xf)|xVd_hZZrNaE*_TFwpd@Y zG_&$>@R;uA<>BSx>J{$cU8YRgRNDAtP3Je|&0Sfgy42kM#jDkS{Ri{m7wo~K`kp>L zT127&JT@l?s#i+b0q)|>Y=@#6=dC^pM6tb9ITC49h`#vRn7*x6C~cbMscQ z@ws|aKfRf^+SKW=h0Uj?W_KBz6k^vjnkWTKpB@z)7K?@v(Sp8J#U&)gCnP0AtCSIO zu`vX@h8C|Bz0_5pK7&*WQz}cjOI0si-idP;o&!biINTLoUaEZ!d#k*ne=XUc8=y2R%ptGQohdCu3oO**sAI^-Pge>A}FLGBlov8&2P?Fc%q?G zpO&X7Z_!?P1N+=-?9Sb~Q(d|qwVwD5B!f$61T>JXOKD4hORNS#`Cbrn$v+yKyYQFc zGV4js7}*~|{tvy&zyi@8Jf{2i2kbR}bvb0b* zJ2(dV1Vx9(Ca9E&NlInBGA0hWV=-~@u~7-C$OKjN;JO->vmh>(+33KWs#`#pauF5?W-v9ku`;vuaP|lZ3=0kj zb$0Z2a!87dm{ZsI^r|b5Em_6pSLjs*`j#c!1CKM`{ir>9LVKoH-$%N!k-P;#eF_4U z7!Fhc1IE8HcXe8wMuTdVWNi)D#TPaDvVXHp|M+vvLWtdL#?@D=a8O%%9XEISkE!Vm zW+wGE)`9MBHvVuJLZV`$;}a7Tl2YPT2`cysv9VDx%IKIxRdixPj1n#{N!}F)4oOPy z@T6V=xI=fqu;@ZaB`+4(sNMx`#X&uXaZ(z9UYNUd(A6~q?n3pBn%FSXQ(8j0=6XX{f8WfI=Tdp3# zKAy$p757}R=7%fRcQ?+~rdKj~4a^_!(7peWde?r{n$b5_^AZGYdh|6;Dai79W<`NZmNy!6xT z&YC;ywz7G~+I+UDQG~s{M@UEz7SH126Tn+?A0Mw$#VeI6?3IX9#VR3z6XN4k11Sv@ zv{dOLrI+3SWb7VBT}UWjSi1u$y@M97h+c!u6w-t;&{yj9j<0$}0E^s}x(!nL(t7CP zy$E2MSvD$t#a(Ms=)&SP@OMS%ife2jE*)b@UW#5RZs}Z1X&9Beqg~GOV{!y8iwIyX zLSXB_SCAG(aERqVJYIZ@ep{#|Nj6WITNz&W z{om&-{dUR5p4KI7X1R9xdiCSa^?$xqbF@p>k0b&Cx;j)|M7=T)m(=AY$qisHSd474 zi8u}8a2G5mxeJ=EzMkIRKBgZVhSkUVx<1_6d&~Vy+Y&~Zr?U!V%-r=x*2hiFKDRWz z+txe>d-J@!yyFv8S=pKC>3DNU)Qwlh#S_x1KwrRDsS<`#7_fMW!WA^`F!V-8#|r2r z^o<GfKQjUN$0#p`D9}(vRiWyfs&3C}6+cfm6u<{M^OUR5* z$V?0fQ%(1-h>cxe*YWc5tB=iDtY=y})q}?&3w5KCK4yh1xx<(d<5X_SKKnn{HxCTG@~!#>9g&anMXN z-)Us>jPbPf))q>4H)9YH&@Cw`%+1YCN+Q;7Tr7|a*_#013ae>Qxm!B(e7p#Tn5TB4Rz+GgJ z;f7T<3=z7(Ej4-vRIh}))Nr^k?ivW+QMr5m=)C~3iRll6{%tcj)8 z!tAK2@xP`SEmJ5|u5Ny*sfp#~CDk<*A&ZejvtuM$c(R=5djSIS*V z+yT7{)hnQvxD5dEAazN8Lxf?m95J0&x_#~Z_3p^(yj1&2yQtnA9ug zl#K+il)fT^M|B&X%5fCNANF59 zdVdjjM^(M&!(GWWD^KMJT)GjU+!di~pdALOj9wIGM$^oYcZQ`mOB=fYzrf`9#H_T8 z^wiYYSf!VDVo2D^oct#m+rFQ(q_?4yPtWIPFJbR~K==Nq+9M}X5glTeq%MGiWSNbm zHIUK?!i`ogyFl`e?K41KleQA5e2!Pq>rVIfeffjtzDL>lt8@wZeF`tFiG$A4@`%0F zhn8lyo0#UJ!$xR$a8-5rjG66q^)*>pneY~{I|2fim<);JM)Dev*`UH#;yDN_YePn_ zKw)w>Kwm-sQq>E|JE(Zct~HEt{9USg#RWF0@TJRaqoP+#=QY5s==hGGP-dWXsmqId z>NAM;f{0r{R}{E|z#Upw6LBk=yWs9Q^T^=x8my}su$CGQqh77zuh=E!d164kL9SKQy zR5xy!v$VT$CZCke)pxSLzm56V+xk8GnX`Q)e+=9u&?S{12U5vMaYjLuULbYH^BF*P zHL6nT)F6DnT7U3(|2rRRZ@8VU@6?4Q_A4B;M%HR8>zxjE&sdsYX=N4f?PH2OU5MQ| zb7$4o)+8sV0J^w=v&d?I$slkS=q1n0oIt<`0l&*o;r4ECbL?$kNKg3 zAncj|bcH|uNqtCCvW({N{uF}w{*#k-c$6_trQ}FDSgL5@2K1z6}_U~r4v!_QuIpuu7SSigI=n7 zsqhsI2daP#tOiPA@!miOQxQxJ2l0NS2$mYXQo{jrDS+vG90iPv*WhxN59J74+z1%p zRtjD5KLGR$@h$vaNAh}w#+O)Q!y{BqX1g*$j5xNG%1$PagOYMd6 zO$MpnrCANs-yI9Ruo?`wD@E^ERs+?(;I23*eWmE702a*$p!a;BOU(x=cBPV6G#p0S z4pQ6#x>C;pbLoYAaeu?)SC=C&Y6R#dD&EuQh|ndvc3|rSzzg)^o@`+wNe#xvXb|M& z>>UvulbN5HnV%Z13h?rEb#N*U34J6rV}C-LHYkpX&*fU?@;Cj7d-Y9r$Iq}DNJBFu z7LZEl!X7mt)j*KFzY2GWmL^4jfnDa%QTEOE*jw&p8)vb>@tmU%qp;|jX0px5{O_i= zZ3<%#U*CX|(!$OeZ8K(cHZ?XCBBR4%hIW#!T%E=|k$M25V_-|tp&jcxTk*R!1J-_~1_R**G zUwo%K*`-162V{+*4}`G5Mz#nK+PxPJ-m&#A!8+0>ga*R9_OVaB#BKO3TUe`i3uSFR z8Dop1M#fvFncQh&Rb*zV2n!3Xt*e?hZ+1sVTUl9oW_oslDj8M-9Td6(c9Wre1-&b9 zSAZ_%F5OT;6|czOk@N+34QyR0cg4bFqS!qrcf~XYgG>f$FNpV}`nzL^UD4c?5?6E> zFp(-<(Nz$kD_Xe*YiZQJ9m~WW3$!9)=|sG2rB|ywmm_f5ML@ig>4cuY_~77WPyU1h zfQ6C`=r(L=Y31Y_5UxtfNYBbj&j5RTJUk;keKtobUyn}Q6PBP3iRV)b_&Lj&+y0_^ z=VJ|$XZyAA8X$Seel@TcD{5q2jZA-~{c3`u=K3`3_dB@1Jj%_zf=SBLSWahb+;rwv zdrXX8oHp%hGs`q*R|V3=T3QW z8t}&HZD4tgrZ@=qQU;4&FV(#weWeVBY($^rXVJrZP@>i;KMcj^R?iwWTiWV>Q zuBdUv3D66BL4+L6ICZS{o?NK-%tL>jcf~ z{T0^Lh=WWz2Qz1Sna{puZ@QbS?bJsks*RlWHm+JL+wEp1k4{r8wYF9U1h{8qWzL>G zL1q*9HFR4qGlnCx74|u)tWZ&=*dck12;5Pi8g4lq; zB8Ei+7(5m&2*7w$7eeH*)btg3j5Rh45yPV03m#J>Bili`(gq|$1d9Xy1I9@Amug}l zSsYR%j}*js1W&+|#iwB+eVUZwqI4b$=Tt+BP#=rv;wqgykt1*^M?iGjq^d;9+Tn9> z7sYab+-cKH(T&Z-c$%q^vALtm^uSPMQc`9?Zb4>RYJgv`_w>@}xT_P=-j2`g3QypJ z;<&6TX6Yu~KmMuv;b%RXP!Puz&DOBwh2mh?J51WWW7EX1;WI!}V_OC^_K{P%|9rw+ zb0e2msq>HQGqTs&xoT|ezc)9%dzzx%$ssBxCcLby6k>PH+Lf(s&0u6?WE41?oRXB1 zf_w0GfV%>E0pnzRD+#$}gXpCI<`u|mlW%ea)p~}VHF43} zJ8NugzA!VnR-wptcMVQUPp)sQTexV!vgJz~8ym1}h8ki}y?|?Ks(@I!tS0ykiBtze z_ae0c+!bzQbxoy`p({r~j({A2UmAfS(hi@&b|58saZlP|!fP-!BKS2nwJ^7Lb%~6Q z$;r;mOwR}k2=eg?j*V*0%6ht}>{xmZ8xY9`C9`$2nLGc=eE1oA;4rIJqyL0X%jmF! zjbImL$tHx|ak)#H#2FOGLMsr`q13&&vEmW1|IlpVPg43X1dE zXSB_kH)rO|nU$3lSWAQCMV@YQa&lT)YD!8n9w9yhAuX|b=>V7sbcI1vcz$*0 zkRu>RU^s1uj-YUk`2f)aibIyY64_Fgy3?dU}!7fEqb)7|{Fyop|8(BD>*&?cMRYixs>5$Mi3K z(0~2C+L{?`fJ);K+GXz9ZD)7F()0~uqb9t^zyROM>WaDZ=gyupyRoscsHh0I1xLYC zOj1+9U3dxuV*t4Xzym{oF>D61tR`PUas=cE$PxIZ5f~!v@R@|WWDSn4y+tZT{JeWwnzmI{_C&_%?Lye}Qr+g?>7RR<`F@-JbQe^v(CQ3{ zweWfw2CHmBHtz+ZcRZf~O^o?nhnOc{*RH=wU);ocMrmyVyUpE>+t~eNVfvJbaWxE+ z@UW1^rl!S9m(89tr?RRF{|NzO0ae_CxEP=>r7oQeiCuxbl)H2j+b@l`{CqhAas=cE z45#hT5%C#>L8vQmm&#u}0}mtLDJv^0Hy5>P6T`y20|Vpoa{tiL_HljPk+>v{a~PXk zz^vKCJpLr}<=4#d6O3AeS`Fleg1j(bc@8@%evLdbh-fv#Z`;c~@C>tXBa>0VIE3gd zeS0lj57^j!Y;Jb1nOTveokA5C+tE35*ZnHwW}pDkJ^SoHC1DxSYA~e*K4An8SzI{(hmu4^q4!d|4FV{gvwPB2D6~j{jyScgKTl z(?TXLM`z)uv-Ik>a@l2T|B{*cwHB7?-kyrI)Z{ty7OcH;^XxebGBdMa7=XKYL+Pjj zi3;cfhDGj*haz`AufwS$`!TnZmWqWd3lA_TO*n_U&cQo+W+N_2{Th z`mOzX+(qTd)BWrx-?6{@6Ia-*4^GmUdh2XFGxSqqecxEUE4xEDYqBsP!-fL=Tc+;w(#MnXRrRZ>!d^|hd&u)N&nIkRr-ocU2+d3RU}9}>@%*6B7} z-T%)QH9L1QUEQSaF1SnDtuZheex*J?8a<1?n(uz5-}rkjsYLG`({Jjjb8y!>xPEWz ze7lKdy`4iuL{w;TY3ZUR%dXt8dFISHa1Kx>7i5Ll&CJZC+@%f!peyC>AaIEzfjPJf ztKotJFaJY10&)bVYy^hWcIaq0ci}RSyawE(13b8kO`q84gg>@ROH1MM1_y^`XBKsK zY;K?Nc5zKtY!)97$E6l(7p*+|_>+C#ZPlGTO*WBXhp=7;_@cksud#0e=5C*c*>_a? zum9*)Ze}AhbuQt3W*$06H?5=V*EY`A8CzyMItQakaAkEZxVvWU`u2`auoD}|AZ@{2 zs&_HRpwJb$3)WKHg1B@db9c)A(#UUIj=%&XFhttnGmft@+2C$oUS4o;2t3h-h9ymHuaq^MO3LT_V!6mPP22pQzdYRg$yeILC-kV!NK(ll zdr38PF!I6^$;QZMzz^-|Ww!6pJolPz@ftQLN#_{SXW^lBa@9CEe{SWpewulNi(5cS zdS+c?%fdxVR;*aj+}sTAqNEM@3hrV+?_!R7IxrW2J9H;-u0ZkaPxtyT3~QF1U+b$zT1Tee@aK?4_)qN@o|`XW_1Oa#q_req!#h zTw!YK;pv~9TiDV*bHTzzixw`Zud78`E;gQlvLbY)42JNf$Q3m3KzTJA6hotzaw+$y}Jcg3*iJ6W}f?Na62uY&igTLqbEs!ksg7%FAjVt8U$& zQ=##XWgR1Q`E`BQ-===)ZTGR>P!x2=Wh+BOpg$N=0BeZHJDCn;>wPuCC#LpwP_-EQBSL& zumIdeEv>*HQ)NPSVfkO{+IALJ_Xa8%%U~wGOmpS$^iRIbe!D||>a12rD&mkKu=m2j zJGReo=qUHf+w5=eV5>Sf?>NRTNNwfT=V*Vz#`;YYn@%HBML>Xmc~#Ba1&ij*o7djl zR90L_n3|f36*Zt&M!xqBbwKKNXJ@R+(!trdD&qV72{8-4}u?%u~g_yj*^ zEuT@%dBkxJfqFZ)ZYR5+ZLFR)wXL(VQ9zhCG&L?+whW~iYD>$|u?XA+afNCVB#Dd; z>h+4y#e-Du0=mF0MX#LCFm--Q;b_iwkdUZ(Xr+<=i=Q z$_om!h0Fz!yA-#B@Fg49Fz6w;OYH?fmri8vPVSW`|1>!QQzrt$X*+ZT${KK&%3q>@ zk=LM5pgK-SXmD0mCb(NzRFIq!8xRIwC(W8SXYG~i=gps2kdu>|lmym-yYP20Fu@@mRO14-;sgu^am69$ zGfbV|68W9V5t!Tv43T#D4BVxl#e{kbI0T6acd@<(pTWe$&(9at5#jG5ix(Zy0|R1` zlU7w%KV4q^V^UtPe>@wKqOa&=H{Zme=g*G4XS;gUq&g1Il0xX*MC#(;-wxbJZUhRP zX|=4byN^Y0pQ~@y$5%7aIh=nS@9YQe9&mAd)7Jb(YwNs#V8@*N>;+2}ZMf>H`3o0j zWo9CY45S5a4TgwbDRf2d(uwTvPVSW`|1>!QQzrt$X*+ZzvUVhQ@hvuPAs6%`eU zC8_fA^4y%vz<{XesM-1X_Z5_WnOf8rmdXVr=yK|qE3RRmd{w=5chBjwl)H?M(?Oq3 zK<|p&CCL#yHo)mnTm4iw`_UKdh8vieGBz@c^N$60^^UIlT%BIFHUEu`O?GgodwyZw zqNPhVU41q3ce69Iu-O;HrAk+dUYWa7=Qlupr*Z`T`w~m^eU?_#7oN&t>q2@Zvt%Rt_=}ovf9gHitr2uCtLKT!J7Mk) zx((>ortjD3kDX%Q`tgS%H< zwP3-*oXl*r4~DrQcnkwR1EueP*D$z!jV`Jg=v}(T_TT@+@w4jf_r{T{pOYA!6F4@u>HqQG6Pv)fOu&i}BrSmj`s z5*_7VT3WPZ$&!s5H_xB90D2c~f~DM*3g59LFX&5$%-zfV+LzB?j=;r=zz}JN&qn30 zVD1hi@?wsT+_Vrc{9VFbzp$X7n$)x#GV?x6$?pkI=BCFo@rCT{mFxpgYCqfBf9w?5 zIRR2a>`tW7k3uozGYH&8WBBe~cGo`Ul{cAX8@Rx1J|Kzrj^JH4m?|eY_-ly6FNA+4AMI{6)0DOT%p%~yU+4M$kI*vct#eMSw^Y>?& zSt~fV6y7tAcMIX2z15B`-#R$lYHnKVWFMIjA6s2jxp2XvRV&wYcFe>!wZx<$?v9UM zu$JN$CIg*-yRaHA*5@X_t{ee50+(+DM2;FvD0e|yOfaMlgZNCOG+=2B`v(N>W(NcW z`v#=OC$GpVcs;N5bV4@g6U+G}an+s7Eq~U%^`UOhAwAi#Mx5OV*54&viII^;OYHD0 zV1E2G_xU%>Lw{#FmT=C=yn8I~8cezSwVlHaCMJbW4xvd&@in!z3+69evHXg*w)WKY zR0Hk`x_3zXimF#Cc?JHGJDIze?^Q3Kxg3Fu8vzlb1{1JW)VP$pKrbeE8t&Jd0|e`E5((kQc;Ch$$6^S(iZ&ERixvOV| z{uS75OjhW$9Czdt_t6*3Umjsv7IKbByjv{q7R!z8vz5{iiaTU zsN6Mp+|JGp)z87*yu4iGHuwic#3#?nFL|+|_C$6O8=&HxW10MV?RB^4UVIZJ$@M*I z9r~;Zl6N9L1MCW|9xdA_cNuW^&`Iw7Pnmnr&T>BCu4@eM9?ZLYX`NlZw0792Fp73@ z_D|1DZ)|Bs2HBFuOHuJWH6t}CB?**8aRzZv`ckh~%3X?HDt0M%VK~S>!{vM7%V#b} z;37sq1g*iufUl6Y7K6*vr3+_VlUc`@1 zerY)Zas)2Z2pHg2JOp1y)w_5QnY$Qx0-lVeHE~}l$XZkhZA3|t9W&b(EhhhKZfefV%1BL1&B`98 zcd76l3%wM&^j_xfWqQfWr!7a|qDMdkt-(afUCLUjcQMCu8U`l#C+6nn;4b#76&4mm zgogz9TgJy%mX$u)+^Ix>r}{MLIx%tXYB<7Oc)YBZ z<#ig4-G74r_ZIH9``Ef!tc#L!kL0`p`RSfoH*{9BU!j<$aCi60FUp-UyK~8s#Y+}0 zZfRV+!;&Z?k-r;+Srnlm5r?tQrwDjnY)+i^$;ipk%_pI2ce)uW&%OCY82e>;C z>=K^=>uNgcGeGZZH5{|=IQQCn+>Ljk!w=&g%X$&+vfduOZcbaQ?H57sdU$#imln;L zHyite7tUMIT;D{vn~{+t43W5WBIPdD*bElfWW9S?T-x$U$`QC^BVd4A@o>;*7)9?2 zHiIdifF}dM3Gwk&m6fF>#j#OQ0X~Y@sNC}6hdWw!S5@_gsThT?HYT%o(OS*@kF%eD zk1lH(+GTAb;8iHJETdzw=NOe9kPnRdX8OHHm>1q)ueymVZDGBXoKGa@9jJHrJniiK zj)UVoRBHF~^eQbYpEn#rtNfjP0V4SJf_gaKY{X1h*wo%`)z4yqB1@-&@Lu2v%KW~86Dee zYPv&}dWEk(B2zPCx&F=vxKF;;pY9>tok)`(09&EZfwiRkBQ5g)<3hjpu>P4>+4aBU ziki6TD&8-O^A6IwcpP_fe$&Zmj;XQ2+smuGylVb}#S0cL?&$2Otg6V$Ch1~GJVs~E zQMn7%OP(<}sOlB9ugu*`_M(Rki30sIxw3#&oq?j?R{ z%cmzt;F67iNKk{x1#lNTXCp$LqaxDEO75M}@qJB=z+FE!EM3>Kh`HsyN#!o9LtEkj zy^F*&{oX_Rr(R~)Ue6Ua4RSX?!+11x_1q}jsBRS6ijicL9d&k!u1ny3s z?p0A)i8d4H@YCAXT2Wb^lZ({dtdZP>@Rh3GL7RbmmG$nWe?`k@C`aJZkAMhTgUJwg z1%sC^uZ`w2m?fxGRRVX@(^4WMT_eI%N{a64X#cvp=2RHC>(7C^P4n5C?%_WAT;%Qq zs*w?YcaXdE$3X8gdk!*xe}TK=YCf-?6oK@KWIg=V4z7po9A2|`m|XlZRJuPDpOC43dRi;02Di|-m(4KjBx{VQ5NLpcJMegq6~D;`R@i#g2Q(fwWI z@2ZqoR;#Qi%g9WPigphVOD-Y5Yb@p_{GE;N-vDab$G#claqf4W<%W3bC? z!rbNcL&bGa2a?%+ka_HRZs}$|w{DQTe*N|?2W{+LwX^FqF;@8acvV(cFI>C?+-+`Y z26xee9?CXva8LkCU0$i`71!9rbv5j{fW>?1f5P$^$`OzwaH&N=1g*hj9PZ*<OT|2;CcfUS6mjl+euh`ml8XGHoeZ8w|Y8EY7I)CAUrsk%y@=}!Y zf#e;;F8O*s=#{y9sa?YI`N$Et>>^-*Tk(*P)kw%^m^RSIU7+o-I*@oWxT{jeRg{-i zRpRgVj5y`=(9nd!yj$8^KB=xb8kMB83gJSMnc5lb?{DWm_>?)-r6-$vC#rW*=o~kc zfP}w`JM@MBX+QJOQ{4Qud{(uDyUqu!Y+kmu=|KLjpPx61?=D%k4E}CoQzJIj<>eF3 z=A)vIWQ>nq(dz|$WxadZUF`A+%MrLlA|Qg+U~=BvHC4sMRg{&2yLknf%6Q+<;Dmyl zo7$SURM#AdNzvJbaluJURXh9JTe$Z>o-FR7!UJxEy9B+IyX*r`aC27i8C9H5JoZh% z-|e$=K45A6vXym*(KLm>|Ma^0x@9X?VB^Ffck^=d2zRCErQF5+sD^{g-Am-Ml}|&C zz-1i)1Kf&-=gD2{T~o%zmY0=ORh8!#W~&kcgM;Gpvuu%!S`-nYxMz5U&z008Zqi{o|2Z6in&VB6tf9Gag!KGFZ?jnEJ)4v}+gN60W7MAUJ zTLA&n8yXu{tX#Qh$zp-KrBvur?uzIYxjPcQ(ls{dUAkNNvi|Vplb0hPN8qAFKm@J9 zWGwDdw}E_D7~pPPY)n~cNmXSz%6+L4LxTcTxf#E2Y4}fd^})DwtxFW)Zh15Nn?G>> z*}|SY&1m&3H3%+vt1$Yj3DCtZVUBElV^NQ6$3FHik8$nGxRi3vMLqgv zfxCe|jm=FfSFc{YbP3hFl(iyvN1}Js^ad(-t5tqcIRgJ15ir25cxb>~id%da z6KP_Dsfh{UZb>n=3KtX=#3x1s`m1u%f7@LDPF3{*Ri@TGhH$s6iM{p)?mr(gCr;@n zle_3CJiy)U`?x> z+V#zKZ&y|CkI&Y6#S!k7HZa%xo_+U&$>Oe_;Tdv6do_R;wIJDTd%1fb$ie4#qMXN#ddc|xq&{y^uF5+b?zqA~I z%Q^xExD^l2m%GrrF;wqX78VsJB*p~zsZ#fC-)B z5I#d}Omt~6e1^)R;^M@_Sa3Hh^*2qmZ&p|DOU%_yR}sBiT*qAXTjuTe^v6z23U@_+ zmvnL$`U&rV-hG&FoX;f`vc4)dNXhz!_c?j)H@AM##IzM>il&<=L$+b##w)J4qN%B= zq@*}cNFW1r3-X7ohI8s&adl1R?nS(8<(HNta9Kyd0Jq{HT-<>h#q5ZC`b_kph>4CW zE-I|7tN_ZAljHn-m6@s6G}isAs%CdmuG&Y*1toyH>{ZvZZ@tSLIj&c0N&fCc2G-R` z|8{yKC3v+gw_^`?&x2h399EUj_{B4!3HpHOvo6#3TG;;6Sm17GXu!;wGd6GDylUmD zriRAiqM}^n@8;za?n*3PDR)QpcSY{frM1iY!Gz4_0#$5rEw}l4?#*}D!^ar4 zhG^7@4Agv7ZSv}&DNtylMHwLku(yYsL5+BuA>fDK6C!jqVwm|i!ZJ(l(_7@M`= zWCiYa3fx`U)X-2|T!?)WxQ)YIsmVZ>)+lsw54S<)?nSv^MRM-5RJjmUm8gA2dJmK!qN#U+q7=8VHXZw22 z_V;x4^?tiueaHRU+PO?ZA&d<^B8dx*>2>$rW9{&~iA6Ks7JP=z&W?@LXK1J|DJje^ zz@~`-?uwFEs&@^t8pMD@ zLGKpUa2qF+yM4mw@9*o^^!01|y8HUR-LCo51Nz2=Y;p+~n#4yYav{;Z9=^M59G^9{ zYNFh2@94Pl%8e^ltY~ViFD)%BD9p_-$fJ5!qNv@2AX4-`vvhYHi)F)I7DHlK2dSyT9Szm^8iHFAR-F!!SCnUURCu=Zo)q z?|6V|S<0uCbK%K+WC9l)b=KYICmYA7O|2X8wt|EG+uAzTt>3tO`HJSI`tq`(!lL{F z33taruYt=8u`4Dv$lSe5uX_2k55VX){p!E?QRXLs(}``TLvZ@632ww%;wh)m_864>B~Zg=k;R`ySt zS~uX$1qJ!Hw05jryK&hvaJQkN937AgU`!W6_u~J;5cf||w*mLmY7h+vYBk8*y|~w` z{OWQ9F82r+;8r~R<=n->T4`Epe1N|)C*!L2rq>!8cBdC;0^<1q6_-!B`!;)Ma{OJa z%Aqg=%d%Rn=D@)NZ@&HBJ@tnV1YNI*|tw3U?%liJdi&qE-Er5VD;{lG_-$#& ziPS2MGLMT(VL~Ij+&zA@wEnw^MIGK;P>^49OWT??>z6HC*4$i=2FN9aP`p6z5PZ*x zUeR-a_VsieG;%|F5^% zgGZVENysAubYU_aKYr}p|Gabi9k;Z#cPP^~Bo)7uQn@3sqBo(4i_c&qqPsjif3UQA z+{B!4H#pd@rL}eS+I35pFD2Y9D=jV%xI2uz!wiR!s#nTgDtRyW&tE=&IRbJ7{`(OS zL2EGi#oVo|EH5ulOG_krH*<4G^Q(;w;I4XzyVvlqy~Q3lGAZ2E=+u4c-aY$wKmX#h z8#b-U$R+-T(g8D!-x}f&YyN7~obsya4W2lvkvuCkF(m z@-jAcG`-x^@N-6Ce_#R!pCPx3Ung*P{}FxPBck{O|vG?VNd? zC6%kPD;`NH|4Lcfqb%YRa=57YGoC(Mt*rlMZeELi6QN=Lt?eyq)}t=Y;-;pWvQm=H zfHk#2>>9ca-*Fb-zVIo?(6F7IdJsg$De=n+>1}#|JOTzcjNs_R{y)I z^QXkp{>W@znZ-sXobmMi&f5A>3-ektV?|h4Kx+r;;()tL8XLjgqN0KVz*gigCWA|C z!`ucjqgT4dM!5^gdr>|#`9&x2h5qc&pKM4BXAl*x1ns?(WPi z><jCNm?Qa5sHJN8^i4^~1S)E#dCIL(F98 z-F}wsXPEAO_0f~34j(;q6>wvOXm4q}%jwtgRomGOe*T zQbdLYwYRseTSxL48tWU%Q3$fQq?llr@^=*O8qn83@5N~!qH+ZO2O?mATk-H0 zbGNFxO5|?ZhK`1RHcGggQ^T*lmVaf^xU1!O4afKCnC`Rc-o8GqPJQsO_LbN5*WJJt zS8*=ETv)s=IOe#k*H@O74_ca3gS$~-!5!`G>(^bmZ0T}=yXB?D0(uE~$3w5UnSvS) zqU4pi`#*5`%WpxBz(t9G2wH=Ql)I=xMh_+B$OihWp$;Uii&IrqU0qd^m6ZX#o0GP_ zz5a#9`W@Lt{iv0I@?cq2{F-a{SKgc~y$kMYSsopOG-{nrtJAaWkrV7&?=d(1iK%R2 z+(Vftl{PH$n2X!zrl$9s8CO~my&KZr-nnia_Dw8rXs9bMEh{U6$t$3@L}0H3z|!1? zk=&(84%BM6C?A^qqH+Y}2wc_?Fu<*NXuw*LyW$h*gmM>{s;;iCsj11%$p{KmWu~rc zseityaYtd9IyRLLQnBgf+{(@T%Wn|w_Nqz0iHQu<6DQ z_dK9)n4zB@$;8HMBO;Hvx_)kK{C~#A6=vXWxWL`@8<#F$-q2VJpP{^{oN#w=Q1(*0 zVI1y?nPoJq;j;el<&&2qAV=V$M8JTf;^Fyom(<@aEUc-iuC1-f$xROmQl+P^ZESe1 zscA=Pr6wVr4_30NCG3ig+)I+Ifx%-Q~l>s`v-KDGMf@nc7i95{aR@ZLiwKKZKW z;eTj47BE37J~5SzjXUk(`L(IpgC@pRrqhtm5CZP5->?DPZEUKmEEl*7i+6B{UIQer zRPTz3ywu;7_3l*ub&=n@9DzxRfB|mBL&{y;(=m?EFsOHHY6*8UM7`Tc^=<|qq-0W{ zcQLhLg9sWaU_Zr%FvmiM-N^zJ+FZF&Bc?{2^6P{RyOL<*mj&c!KD zdwPFsX7PxLNwtYW5fK)Q`n%xn@++1%lKKo~T}))3 zVNxzY`G?36n1T@yL2ED>1nxjD%X4$LmT)&WZy0w=DmC$g++7at{)^djU{bi-t7dw7 zwR`s+dh_)+A9~;~_ucoWyY9L7=G&iKyXni4`kt5!J~@MnRh{vi{++ocxLaeSP=tpD ziQH`hcPmQE1n8CugNj}$da2|cmAhhM1D4jN;3Xr!WjO+J1SS{(1Kf&-7r@=Ry4nHm zrV)R)v3W;H)vv_e!^h4XICS!hueSc*UmjSoWXYUa9T+X`t1IeWOes93%;J*L*=YC- z(7Too8=F+)zaC+R+$||9AySue7xz;1j$B=%ZUfc3G{ZsGyA!>NAvA0J_B86}fw!=oQn- zWbRJcUmE$1%MqAh1PpL19!`wA>zW#&cL%wvVv|>l ze6FEoXK}SgmB|MV=WZ`H`A#%{_vhV*ckG1T{rI}y{5~TkEi};2-&YY5s)|m$FCk}F zLbf_KQ5zI?!qNRJW2*;^&8pxtgkqoYjE;4iHY{JcqN%x|yaKqT+%3Zp**lioAmy&; zIY9DG&8tR!*K!2p2uvgb2DlXurQF4X28ZI)z}@JmXanx1rLSucxLZ`MQT{62-Fx8Z zu04mgeEh}jxBscFrMavmuedm=qN=L2`l0Ny-ATFqF$o&~kQ4T9Um06IXkuPv1T7pM z+&;5&{pO7;R$bBD+*pai=VirMTO-sJ21V~k?n=F0s&{4XPUMP{|E(MWIRYX<4JHPl zH8>QXEaC2uznhk}7Tm3G+3~A!_t>efBgao|{c*>0&prRA+i$(~=HK6T>vgx?ar0Hz zz1lS6KuVrEIzj6fe8SG{Ya^?NOf0I6Q3n$FyE8kl6u8^c+*DavhD0(ccLCpXqL&g^ z=C1sEBS%1vz(gV-64YQ~09u1X@ySx|)(++~fV=hJZu5>p^g7E#U&|qXckcoHWcUny z8l{C)4sZF$p@qpp%5V)(@FlR+|_r z!Xt*b+bnRm6iaL6rRC)VdiR{FSF{?yT@0GSJCWGS|5lEG9D%7B0g<2v6M$Cw$avh1 zraps^zbovUpxmu%-ceAciIs9!*f%j5+|}xNjgIT?=|6Pn$o{>1j~v=}{Mf!jNB4d3 z@zJZVKbu*ki%Zn|1)s8&au@X(sL!zSisq)qipsJN5lesYLGPS81?^!Jq2gP25YuKHuQsA8OlFLj=+?PfRwe;xsmVPKq-yI5coB`?h*gVivl zE*AOC$`OzwFewp`vQ|3B#$b`Mn2fbgc!;|-r~_G*os$|Es7y~=)6n>AL+g&BT8%Or z{ngmS5^gEkC(Q0W!1VTW6V4+$c=*JjBPULr>h4pcC>hHz47kha+3h=-Tkh5uG%%5g zjFZP1bK9*(W{;U$)ft;9A|k_P&7O7D)mN`twF=xtJu)a<6loC0`EZw_SA?$2-ATCy z@-xEEe!_v~YO`#6@JNcmk}q1b=$=z&AWj-TvOYji~FvKY|2%(flO zt@kj+&1^&>W9xdx)anN#)5pPG6LUpmRM?z3v#+`4n$@dUH#Ia=mX%kQSD*#*Ik}5B zM^nkfgfhCQM)wR)wd+NGzj6fR2uwTzQr1f65VFR`#!~2t3V2NJR+VRECW5=^>8l$l zcbhbc1w2{`D+~EWYq{rNVR!FkdV43%-9v|uA3k#8)ah;wYM%4Z&)}|Jt=4Y+QFqJT zY-tN0mCV?=fxBCcOddD0B;1XP3KhBA+}K!EQCUq|aaL9UzQPzw@6uv9B6`KF2Aaz| z@lQbh333GF2u!sINLeeLOSucso|oPQcLlwhmbSW~@tH>G-9}A90qG|kSI94%Ozs{& za`MR0Q>RY%sKH&1<9G;Yy;ciz%3A3hV)qyN4CwSD_zZ!u;BI~6GYxIqiyJiY z`MkfByZhL)li)KPJ$f3qQ>S`*`!zZkysVDrbqu51zLRjbxCwffb?^XpzgHOl&BVOc z*c3hk^zN){uDyEAn$@k%O*QBShmLSn1Kd3y^h$jOn$G~qJMm9I{t0pf?5s6nHWaQ8X*43op%lP7y{19wlK>F)07>g(&)>UuQV z-XFI2-*l%ozmADW)H`~fHnkbxu8}d;)k0>^nR(5%SFKsIsKWpe`?m}^zPGjZQBbQ zG|D{QFM*FOT<*z-M0`zwVFS z85Nq)c%7s7X*1jJrT5QyS-YyWwYj_4Chrw`}?N^*3I7n>NkDHj);hlv`x!cwX?!wyza|w8VA$p~2Y*XrDk>9Kw0XYJb z5&}&w{)9iE?+>t^+^)wC|Ho zzI^17zg>6TwO4LfwPEAZtFB(Za_tkf9Xk_?dV*9MXWuhcjz5~1|J{^wH=O9*Yp&hA zcHQds_BNOdB$r{3yXT2sTCGefe<$Tbkbj6A0XYIwDguzRRN4ZxNX8Y%g>YBsYYFZq zr>?H8f2Ou&dqJ%xE{FG3vC#$W{B`WJud+W+4tIa};ivDu+y2&D@7;X!ZEfu>1x1J!vtxG_cweydr7XL6cs~7d|wZFM)-TE~h9qs5lUqKRh2lTEW zde7Hzp!B7t@07Y&kUfKy9IYy zx%_Bs{`i2;Ao#n;XIQrmdKcWSC5vh>d4)ms?l^7(<*w-LijygIvB+;$j({A2Nr?dE z?nuxYJaV4g&Ckykxm(?|J+DR+BXBoL@EHVumqY&UgxA%2y8F7idJi2u`ps8gzyIDl z@BQcXkG8z=*(dKk|H9T4>y9h))j^4R*WfM-=dDJjkC~X(;$4z;wb`9lU$bfLTKKyH zcZGZg%3VSA68Mghx zIG*?nbx#xSR;y#OcpoJza(DNBaF?HOeTKeXP48Lt=~GAD_Op)NRyaSc9W?)06)_z`2{8oW#7k)k2!TOz+%IRcX#0V!O^nV*xp^>y{&Zb5#I0e7PX?ndXc3)ZsF zzsiBTz2NRd`&vTpc6axlIo*By=w*6!MXBmrCAIxod!4d0lOCuR-~z$q|@p z5sa&tl-0HHccFKy)G?X7PaGSQN4d)idUxV=aZv93^y%(H zhmQX6!?tg~`D*)*U+vuX<#*qF@#2d=tytF`lg|VvvhG2rEgZg8CVxEm7_0}5eP zt*)^?w;($>BtAWLZA1OD4Nd54sYZV_-&igxmz}qoefDMc=Y1r97iG#PvT;J8INjBK z^7NUXckg-Yo%de&=kss8{@k0dKl8$K&)#|0CvEdiL}clM;~B4@GgkKC?juI<83=cS zW^{CH-mr1?%GGV~ck5~^v2{X#F1UOC=%r#;=I*3ieew^HBQP~10M<&;JJx(G?xJiN zxC`gAwxPbdu`a71D<~vBGi_aS!}HC}JIksy37NcKEFY20&0WPl{Sy1rUZ%U3oiKOL z_V;z4J-he7fp_2g@THeueEY3`zWdG#ufFoqpa1ej$NUqa8Tud<;~99`%I+JYca5tR z=xZ4sG_#{~^M*}?yU1s#uLF0f*p<0EHLrd7UCR-;SP?jX?ouR+&!^%T+>MQm1$QBK zs~hV@?zS{M*V4SRyjqhq#9dC{E;||A)iOG@PIKh=@lU__>Ye|*^~tCI{``x#Kiu-c zV^91zXW8lCG+ls_@d!L^Y5TQ8@t~1O^)wT#s|C;O?A)|rm*;MbQu5E63wxxLo;cgc1 zAInGP@N-vj&%kHc%l7o5NcjYP29{^_tp4Pg(_er0{Rdm#|KiJczy9|9Prv-)sTX$5 zU)dFqs`HOy+yhRT+k6G?8kD{p0lR0VmCEzEmh4 zFg2|*w?O^fkQp;NH*Vaxa^=d_wl>0DQbG+~;jm>G1RfYPuWV#a*;wekSf88xx^e{M z2wc7q7>B!}#-$V7L;H%)#{`4=4A8svjSaPp4LO9ns?3yC&2>+;G;OP>(IlgZcLp4h{-lnY)+owJe{x9D&Ov0$}G@4ugnW zOi;Js9NcYasB37*A^Rp&naL}gYo8Rjs|I%im3(YIzd+#buF286C%VoYJ$2&i@4x@^ zeSe)ZdwyMgMMZUSdENYin&*f1 zR<2q#$X!9|4sw?q2t!1#)a4cRu9(Y9UEa&(bC%Cjj({A2OD6)ql)ECNiQ1LwU4gr~ zguBYj?@2a%W+Fd1OSybblA0 zkm8V}zsD3Di_YV*U(GAzgtfyLCe!|EWl?2kuZWEcpEY~t=FOW4cUxOg7l+=20+vD% zO98+jugG0-+pq{=K$i|`^a8z?&L=6Ki5vkr0+&k!z)lgR29r_sE}kO_-_f}n1nw?x zuKRmS^ADAE>XckQD4vg#aCb7+)y|ylKY6C->+gQJ`Q|@mrsn&2`I%XmSUToUk9j;Q z?|5`RACk;?g`Ti>{KCZOesI^`K@q2nK%bwhuG+i`eJ$GvcTq1`xDAk(>RtoMdrtIT zE}ye}o^k}_2wXZ5xB%`_JmVi?i2g1%t6{@hUVe5+P+VrpvgW$STU)kP*7v985$+0l zmwWal_UAp!WN=rb=X%w;Z99Ix^UgmPWS96&4^SAno7z=*M?V>vdm;+lO<}#lPuMsO z9pF=*wGcPeeO%8XFhKBy_yZ7wB|NkDW ztE!8Qj&}1@diu`|jDH~__f#Z&h7{I2;)IR!=g_;B=9TvLia1ro+<8Jj;k9eAUk!CD z>uQnI0Q3%xu_UjkdZjL}%-zf78kf&gj=-fB0kBg9t-*wHmp&-Y#pe_14(BedM-~zs zmj&)NJl@v!eN|&$S^?I$*qD6m6K0-%k=eOh-+h*uaQ-fK88aNeZ~wu+J^I+(nKN>- zQ=?)_q7yeoXS^AicP2cS4^CwS?tW%6?f)!IE9`9*N@e)md9$zm%{6P+t!wY-M6uxd zS`=$Xwf2EQ@jE_xDR-sxz0^KW`F!LE$Pu{oBLH?9;C9r*U&h_EWvz{mwYPs?-PD^_ z$OR>`(Rs|gRr;r2)bH4>?>fs&c%Lx1i>((24<3B#$tPB>SXfS9w;+T!|r^brESeR7US%bS_^X3!ou3NvZqjLt~E?Q*#67EvfE25Vg4wwEX zET5qq0XYJfS_ICYyOhV`^D)7Y`nyAVH+@-a(_`%&-`BMCrWb*`OjMqJ?ke3=|J3c+ ztpj)U6Wy;yxXbg04j+2{`KLE+T;4IGqM~k5dBgv+cNXwbTx%Q8Ekv+lE$+mL>~7rM zvvJQ#Htq=_L5sV)wrB-yZ%f;+rCy-LEjSe@P@oVY#CKWP z%x=lq=M4Yf)91YBoVVSAPnmmF=sHnjtmQ+D9`xvUyo<(0?JoYkx-;CB&YU&9aAIM+ zSR57(+zk#z=hOP#7SjfG>rdPstN>O({S^RqwrFrwZi3%!mAmx1I54QzFs`O+?rfhG z8})9y=we88wY@juu92HOA+LVV$Mx5Klb3fCcd7e--9Pl@!9|OvB&S9MMUEFn@Ae2S zHuHQk)`1#pEgwpA_dgmNwYvCs*MWKlgX9$1tl2Y*CKbhtAZG{#?jp;}IaHzsZb6yK z=o+|Q?041w>BcR@3aF<7PtRQjz3k`V0uJ!I%z9+#Kik8?T-~64*WB45BQ|Oma5pr% z+5!BofG~1ZB;?6=|5twXS9$ppCTIBfOEhR`pnO+JmXtm`e(Z;>Z?DM8k@0l~cB)w07Jp>(UG`lXyG`5$=)!-pJG(mR>Y6z@ zro~2Y7m13)qH3I=p23VTb|Dh-i1$AvF5e)^DqtN*ND11*UHI35yH6?~|Mu(UZ@&Iy z<(j1#1)C!hkGq84GjgsQZKX7{Bu5*S^y+&`Lt}H7E&*EF8fJXs|OB<7_xoxC;Yp>>LWr-Ol)E<2GXj)K-CK;x1!(S>wy~yI>p}xohg=m=Y7c zHC|L49$DiA^}8m-IA<~;mwN92b@4h?_88V9BihGZf}#`@Sud}ztSrCv$Bplge79xS z(eX2G2Z$=o+!doNTjp*`div}I4cvudh6tG0j5f)Dq!%46a+gIf*YCpgHMXQdZ95h> z3@d;YP+J8$jJwG1hS7f4)X_0DDtcQS_+7+ZYOJYZ9QfT_>fH|GZoNVQrvfdYPE^%Y z{C?-wSBG!UUHK$Lrr>#!P2BCr@w>dg`CY(l1d~Z4@;0HD%^4WK+ZuOS^r~&g;)Y=b zumWnUK>N9CMvtq(f3SyyhPk*xzH8>-kQy1aEjGS5B(la4xND{`QRQwsbB5}=x|+KB zdbu2|Gc3gWxTfapm71j+<$)3srf7_|X!N`NPHSjv>Cz=oOH0Fy=I*Td^NS`=hMWO$ zH#`DP8oR3KZC=Hz5;b7%s_hev8-^87e+4>#yJ)`&Kgdd1?%LU>hDUCTjw=q1sBv&_ zIg}YFb@GlPyje3HFvrew4t*Nc9t}U-poV`LWUPpPy zqAfHV{cdTmez5NO+l_t~ad$TDcY(X$a3dokBhcAkdFhb%sZw4x>&4tvx5pW`2P@Di z6zDMSh9mCMb!28%)@eZ@+ry%Y1JJrS)EJ)J1l5sox%)4P%lvB^8gf{lfl}5JL~U(d zRdrqI6UC`Zf%SOL^I}0np1>-cHfU zxRF=^tbkf6@buhe%r5(RxPXJ5uLfgOO#SXyT{8>Iv;g7u;P7IrsKu0QD?7Fi#JlfBFfYai*mgecT-A{PY zGqA?OnHnvSo4TVqvR(Tr;BILL_+20_bHd;pEKK&Ko;r7(T)dI=p~uz6ShmRBacSvk zbLTIZ)X3d%sm-tvVAo1}lIS=oAXHpSwIB54a1XQ;56n zj$_7}jT@Kl=C;Gz@1mPuE#HxXJtkp2GAYB|tBAWqdvgY+90FBDP{3X4Nj(YNT>{({ zp?Zd~z+EfwyCpp#-(~!6cMZN^TzW?Oyafvf(RN$`Uskqx5z1-0?CTi#uos1ia6~GFpl>!~XU4EnA4RUp}1@7wW zW!l@l>+E*P!M)bRRyop~9OptwvZx(<$@5nfwBJP$!M{E=S^o8Px>Uw1{ z!(9<&PWxSET^!h74b4|;@Vh1%S(ytKEuQ+;TjD0}qI|bSzYB#8ZLipXxvN$WGHwi3 zpc5(35!_{RhOm$@p^KZ%s4=Gcx>@$N?>f6)vUjUBu>tO)b#cVN-96;_%Zifn_U|{L zASgJ&=>m5lw5Y68p1-byoB{b=cjXwECu{-hk(Ivw#yO4GwszGNLe5}eVUn4hxoGi{ zX^6Yx#+;#vyFlOOQ3Gpvnc4=%@3M2BaB=~Q3H305|r<@)9=<(1e~xW z83hZIk;=!l6x8o7*`y4RBJPeBC=CR}Xrr>e121;b+SOGvq(^rROG}fioUFx5m%cT9 zxT!{EAa>rik{1vLS92wPr9Wubb2=jy)?xIcB z5O>RKsM8F06B@XykGNajZ_wqg+V5#LayOgi?)2%?r3`nYq9Pli3;%45yKP(EPSn-7 zsaOH5fSM=(?Bx1d?lpog&0X$i*lW0eqmjG*krA*m4sh27xGONv_w(5sD7@Yn zk#V@IEG237zo(1{cPc5+$Ey?$oyh6!EWY{mk2`T72Sdxb$)y#i`2oS=S}FmP7HXA;Qo zUR9Pp?ojS3IewS&m!dkdhMd8e^}C@xduUi%nP%r^FIl#9`ivO~QVDXm(ZJnk*72(N zT@~&!=;d;kL2oDOYTQ(;09HUv6zDMSLiuiJpqs0W?pSk6i~N9qy+NVZyaH=2oRx^X zPDFeL;_ihjjNfgK-G!V%0r7&8qWx|YcNfFBnv`nbt_94SDDOY$vZl^^np%jvR#v7t zh`Y;X%mnUAQLGRh6BUgP2E8qEmrZ$D?y8_yO}Y^`11o?P=tK%U4R`-$f*buY{EKij z@S<*IBv%cS%D>LX<)5+ynKyFMzvaU|bDx_i_Kh zs@z?=9Jo6ZaTgB6-58p@{~o=asH<^Pu>x2DHBkWA$;B%7x*=zvZ?#&_z>KT;`$qzI zg9F{2t@Xz8Y-|dGL-&V7UGomDvvi}m>p+OoY3^R8N>IN0{QNFcN7gcT^KzChUp{l@ zOj$GTw&r@7<;hsr%T_h8u2)UE5jO)XfEDOO3j8B?84~|9cf)AzI$7(F<=NU5gof`A zjk)d}UT2BoR?>*(?vCA1zl*pFk!*X8W;w&-S|wTslFk`mz8ci;{sVUtXuliNX@ z>)sJ{79K2j6+3nlz}-jXN;ypPZ3lQ;=B{!H!(DpbL|fdQHB*+55Dg=0F|pAx=wP7B zq8EZ*mb=X88be>Us)0*iHR(p&46FcFpc5(37I$BJP2;uK+V;C#?uG>gxH($s>zdm; z7KBId4~xI%9a$&vRF1MB4Uykf?4$cTFrNvoPpskU>0#VmL_i#dLj00 zZGeqydD+0B6LmFiDpmk1pe71*0C&yJ!S6Rzkk}ytu1uUcdXdI!H#$Wadui?2;LC8AK`(c74YSs?%EpanYlP;$HcrB8Gp$uyxQEIMBGL5Cdha9BJP%TK>e=#@AK6vXzng; z^t+?@Nwa8u8@?!43+-DR2cC5gwszAqZ z7xLY(u#n(j7iW6|Ju_#=?C5CV?nTe=D&X!gKB4PCvfM2%M@yBr=U~?(gLNQD*vg%H zQcIn`MlFAv3QD4QUes^_Iff@6u3ysU%?n*McWP<|_v($fo1LApWYMA-Z%t23kU=#A zDrRUjyYNre@3P!w3(8mkv*o;O)bOf47x;T&1+W6oPXXX=iw7zngCer0tRq9~??!}# zhPk*p>FJs~*kwh8zZ)KV$t$7;#?^-Nsj>ECd>RS$3>Pm`;CI{2-8xbMryLgYh5rF} zYsd@N$(8SrAt@x^n;a$}Mwr(R(RdItZ=SOKiStExbY&}D8mpqDA&ZG45dm`mm}sD78vhb zqM||~BAne_#_IBHt+PTy-VKcg?$!zrca>vp$+%Qv=Lcl*C915f1Gq~m(7NY9UE1$f z)=~_2LsNmf#83e-(!74C{-eHcp4Zmgp`{hvyPt-YwOJN$ciy}iQ>G;`+y##t8_RGP zURm^_2%_2O8s_e+`ZoZ7FRZ|eSDz241>FnVO+_kaJ3=VlWgyF6y z!(A#ar31O!wBH2ct`fLge4Sdkl?+WGc)(pg;_fj0lKumWyJ_##)(T;{yJ+70=`?p^ zW8z|B_;;V!t|Hn_`-t}$~cAZ>W@J%)cHRsbu|*%fG;yR5ZkFIwkrbZBIhi-+4- zJ(|0ryFy}UzYE;uDaY7$Fn8&7&zXIGV3D1wI;!{v3ET}yA=4 zN4H%%+9AE^oB{mqqWSZuPo0*OkO)RMHa@;>?y?1CY|u*=H8d19FzD^<{g2y^6~GF- zcm@8EyUYjvk-M;ncvw`FtEaoJ9^b|$GdOHlXv`(=$QlcpyQ6KW*i-`6#VNiF`~0*& zXK31Qf}4i~V}<>d`Ia_185lEqGZO8H?sG1nwpzB%l$scu_n$ z8xEGc40`FowZE;0&Y~A{_XR(s_}5_tUNHqagu6na5O!{eh>r2__Rt6J+Gm7D?h22; z=pR*M?FH*IG;z0af3^0M@BVF{ABMYf<)vS#)!WF>G*aM8jIK~8XJuqAUa)BTw6_vuGYm+Gc0mfM0{~TRJDzla@33B?sh6Hjk55iMp-F!Er_uu zWg|yk9MBJO7v`&3Sn#tmvz9DeJpHZdGFbxDki|E0w>9)Ox4cZs%guUUKo{X(ffc|C zykZKppSuD+AN(#%LV$I|y%2W=_Kun1QM;l<#evb)c3!a04>hJSXLyc&SGk(zuE2*H zA)vJr4NmUJ|6bHpr+j}d=@W~g# z-8D3K`CjBub7Hhv-58@sBS&5s&>y%P+_O9643^p1c}tfppD|-*VqyZ+>xx8T`fQoI z%~M_$z1Z)*fCm%*3ar2@tU!lxH##;tD%RT*ao5o)BPwQRtfV+3w%XB0ITn^nKz^6p zzK1#w>ybTf|Gt*oIx?tds7Cp&a?K89SQ^RmR17k$A7xrQ#^~XwQ5Ocix%2fN!M%C_ zcdc>|cUR1uF$=g0wYy@GL@b6A=!*^&zsoIbP)T{YmiHBYH1Jo$3Sb4ElLBpUm-|+0 z^}DE!jPbkCu`!Xc-X5Mt27D){jF{M+@zUb3xN2u#rLKS)YeU7PP}_GS?$Y}Sw`Y4b z7*}hJyW#1;UHM>B`53c0y>TVFx|fCxdADEh&_2C1tSqf_bMluhT`_Ye;x71I749JIU_c9rzoK~BCguiSE<*?-E9rr zrC_Qvt0`fAu%+vMhqU$%55 za95Tnjg5MKik%%B=Ksf^!y$pAs z2ECZO&*_oGe=Jtul~;fZ*EX)(;x1j!5Chc=G&t0ZU%F&X!?en_|ic%orDoH}AP>@e*6ve+N*1t=|v`Qy)*7X0qD&kYClgB&BNpa&+|D6507!X|<-?5LPj>YWdWGna@* zWw2y!d$5c0T}lb3f>082N?uP?RLd{iP^{ZU#O07szdOiGIo6aMXI5%vc2-~aoj3ah z>2%lNS@N@Ta~3aOHe=STq{Ku?yeLs3O^`^RlDq68Whzw-DRABz>h3cSJ!w3EBA z{;oOC9Jm_=6NRHt9hrfFxr2RTbkr7!>|C6z+ABz@X9eq?Q!#1OJMR;xFDf3Dwx7H8 zBn79OpcHjPeI4;YS01HLL(u;5jMqT)1nO7!|oilF-0i{pY}4imazd zIRSf45b}DmvX;DfomlmDeQ2WG+=Co!rW|EL>YJ1pjypYS)Z6{~2)o0)2`fQPUhcBx z%V*7=m6VLQn;=C8oiwx@HE>7QxYH=OqifHpTks!?6~GF-!V0vXySzrf8xhIh z5BvN8cQ+&MCRBR|DS^AX9qf1Olmwh|ie&bisH&wdUL#g*k%!2DyTo8~YNQD<#`ux0 z;i+LGw)E~D*u5vL11ZSO%UzDRJ3Bc!3Fb`D*lpl$YiR>p)xhO0L=9}(@CrW~_^V+B zumaCXfsW#CWK>jSRJ4behrYg3NTY`%^-m2Py18esz#eEnVSZj-?uz9r<^Xq7l7YJt zFuRR@w+-%c(aTPtz}$UK&m;b0u>$`K3UmZ_(YP9LH-f|6$VTq^1SwUx`>+GX)oMus zPULrCLwACBTv>DeO6`W7gfy43@uLQtD~Fp9!wnw}8GEwdpv~QS1ORuft@-(Rc`KK% zoI7VuN@@zsRilU1{vm2$3(6S!0(ZIS1^WIkJR|r!Vg;}Q9jicxb61b{yEJ#tg5RaN z3pqoR-v#bMAwqi&4UJl&fxA$TrXUrMtE$dltKRgUBC&w74^#qohnXmb7(5&>>SV7s zH)(edfI2c8YySAWyj9Cr&7CthB@J;`jE2>ikhiUrw>f%I%FuXpY;WK{4J&{Z_+L=q zd2rXxCNVOc&KYFYKEX-@YlgeZcitzVp5d=DQcku9yHH0~OOSBZlW0TXdU;*hlgH<- zR&08|KBZvvo~NqO5IU}MqC zRFiRC@BhLxg1;kH04vb33UnZMVXGg=cfs!>?uKoFd{-o^_6=4VTGRP%D#Kl(Be+{n zDWQ(6x~{&gy!_95cdq|-c*S}Yt@fPJAss!#1Hc<@Fd)RmQNu9o%wR8etzX@CL zySe!*m#vyRdu~d4GH_R%(ByZyV{Gj7HSQb=2EAPFGJ!+K_6GjbumV_t{{;m)fV<|X zeix0a0e8J=?pkxW8v@*={cfuA?f1yj#fra5Nkx18u3V|Cr>N4$k1t=k{NwlEeENT% zynEo}%59Iw&sBOvDu?iuLrjPPdXM@JJ*Croigy_Ms(-t6>)^qItClaGJAd}9#UIaJc|T_c;TBE}6)1;CNzo$&7a|$AdTPTN_kpuNg`wTv#-SZu7ZDHTukTdY|vh$WV za#tdQm;s>M0(V=hDZ||TU-%1wzav)Q1t`#g+>He8`ZC;2f_xXaD@m;O3q^i+j2#sP z+}%Z-Jg<0ALevwmj@Z9HG&Jr$ym#x~?Zd~87ELbdt*yZ`=SRkG%AI^Gr9kc+M2)ag z4mYO;=>vDq>h#>Iqb=-5b2m3TciG|^A}P)gWg`kVz5~k`e*E zu*oG2UpC)uo4ed;6oB4W>~X+f2P=RTcmWD@0C(y2$k1|Raj{;0Ucg;jtE7kq?$-E+ zDvfL!xVw2LaiU|m`>>+)LD|DAzg+#~;D5Jn-LUJuZJW3KIBUs+#N2xO0Ai@6ayXwH zWLVmJ&{-{=tvXskkTclW@N;u>mn~Z{3+7E^q)KJdL|G!7z+Hr1`uG>hv;qwE9fibX0gDB1?z+2ytXJeTZ5%RWFa$YK{2?$Xd zS(Bq}smLU1(@x^}`3~{BPwHzbYO5ZUKD>V8N^$Y&3zyG+efav4b$`WYR9X7S2MVZR z0%DL+Nv{ECyLNp?TPvs!aMxClH$Hdy$`!Nc%}vQjlS0Y>%R$mdd(iueJr4NmUxHO6 zC2ipDzQ&zK@dCOC{|c-CR^Sy=pbge?-)ft?!NI}tz+I`>U+CA!-FKuSmb=6#Ya+aX zyF^EDx1O%wty3tft7~eit7_`1f4Nn+b1#uLfwc3b`WPz*nUZhnmG$UQv1t`FU zYa7>Xb2q3(?sEOEH5r~rZbUf)alfSfei!CVpp&E&3W6ly|B738i1+rBnMIVnFV)LX zIba<5#@MnR{muh-H8q2JzOG?qW0sqrxoqX)S#xKmrlo@2O-Y9JAd|UK1Iyhu&W9K@MyRgd|0jofwMH=LP+?DVCNRcy{bo8To=qukaqWX;~ z>)!7|moD48Y6kT{+%*O6E?=>D_S~6iX{j)xmYS5pa+h_zDg$iH9189XwnpqW>^c03 zJr4NmUvI%fdxN*lS`knaL_14AI+rAAsIzq?^aM{!pH z%aAF-?~-+Ob@KXZ$Qy3otNrl*h)HuP_h4oB2JV)3?_b=d>yECP!QFcy?&jrZu2{Kb z?%Y{vX=zYF2HZ`dvj)cUa?#5cHn7}f(A#{)h8NI9_*Y;BumZ1`0aeP6N10e>B=09N1yD8TZywToxrZbE>tP3~?dj-Mg^dIX7VJL?%r zpH!4ol;660`{b#UhYx>!?8LX<9zM5Y-~DL|tG%NXZ}60Z%wSxtyyu%2yJ+pw)C%bV z>p;8-m^WcXj)0s&Mr~lY`>_4o{q6Rx8#jMD ze){B&T|1X9o40n|qBR?LFIs&jZ`ytLh}xkx)G$6d(BN^e0hhXJBksbw=eD-|q6y>I zuU)ff!ThX@^dwnAN)iOT=s?t^4{p@ZB6{Il!h!wnEC1I5e?hFkb5(%lYik!=?!sr_ zN631axR>QF)b9e0q>=<-V1OZvt1;Y7IG>Qjau;$2;4X>$ZU=Dp=aVOo96R>mC!f4E zV|q+Xs3ajWIel7k_MWK3^LE0Du}&yZ9%NYFd(b6K?RPb`LtvjDTU$X=iomaD}WVvB^785x9oj}yUc5I z?rLdi!F)BuT{LY%8pv?hIx#$KvozscLUK)D7@Ds(66L$fjUC3_Prvx`TmG>EZSySgdP3=&f9*{FMaW^w7EiqBb zrLY26f#<3K%h%Q}o|3!p-`d0vBp6!rvwL{;2 z`}tR2ZQHpkF*#+}umK}R4m36QvUMu5_xe9ezelDXlpf-4MZdvUwR^k|-0i9j`?&K8 z3&yX5e0Sme%uJfQsmZXhFdQv%mzhJMf?l?&0dx12{M&%P6jtEHD8S`w8`mm11N_K* z7`V&h@o4VGiACZ7f8Z|9+FBYOzEPTZMw(g`5J4H*5F;4w?jTPf?!s(`cGfc-JALZt ziQ@-8{6mcpo|&rLe8**Jbsq!2*3OL-CMuixq0=MYbQ^i`uXP{j-UAU$0J8SJa~D@ z>iZ$_b+E7HaC367etF+P#oFC>YIh5My`P4S9Y4PyXXWbU^A^m8d>5+6(hzvT^EMnR zX#-o;KPVXli8w)vu1dXd1 z@B}?rcQW&8$v7<)WQeiT3{dV%_v*ZJmGqh)$A6N(S&qw#+y#MIIUk@La zlsqUezkBEIz2m3Lx4v5wlR)a|D+iAw2kDjd9e7T=+cureDz}+_nHgI>@s-<)019vk3v*{^m$nri# z)SxoD#$6@y#q<*XEm#4pz^kM{8;EW3t>)Zi{BBH49L$^u2naOL=kd+rfx9BnDOqxL za3tdHXd5atfn2+dJbH%e2=2l>VK^(Qs%vZIghD}*wT~-mi!UqQ+e^kLQC-F=2OE=v zbxZpVJlCx|;x6*L4pwO0^OeBeIhom+$nOGoVMR##U|cU7HLz8@Emk#P?!HQY1Mqjj z3cPp)T7+vGH{0NDe7w}(KLEHZFpn1otPx92%TlX@qm*V2)F^8*RN8^uEqVO7Eypf0dO#EGsXssH~|0zYFW{)<3S0U%W!TzppuW>3sK1 z4O@Hb-2A*{%U8^qJGX(mupA_ixAFK#%gdq{``ym|)Z_MJ1zxTKEyA_=O~5T2a35Y( z=1oBTF4QwXz8fDW_Ve`zziS~71qZK%InCSyLtIbm#v&LcV1>z zR!VAeT6!8f8_}!6U2wgvr43xm`*M2^|8}eZR-iK~&>XQX-l4Jk58RDI-1XB3?plb0 zL)J->PA6tn2gfN*9ZAGp5xIH`b>yV--e2wK?q8*)56jBR9zUtBs#C}txqG=DjjJUQ zn!3~wV`7M2Y5zgzyZ6}E4f0*^yLQ&O40q?u&qCZyNzX`wg?O2RF}*72<#Jag=w;E{ z8T%Z!87qJlc)1F+NZIB$+u$yrkLIgE9U1L+5qAZalAzG_;^Z?dcZXY&LGk43&D4<- z9m3tR%8IheCr_%Om_ZJ!N>Wtq<0rKjugTv(K!{QZZ9Q`6IAW+?=^F#j_vpU8d$(Yg zyUUiXoQt@d4OI+W?lyP5Y|6`}uSzX11P(8^_waAW3Sb2~qXNwlt8#}SZfo3S)+0mn zgb{Z|e!jklyA~2*=mt^JnS`wBpg5(8BS~|YTD6%ve7r-rTV7T1xavto)sxD~s+#I2 zb+u(BWsi%m)_(AjLYzkQFeHbY5X1CJ-yC?MXSeO$b%Oi70e;siFE4+26L(WpxXYlo zMav85G@c$nWw5(!k)2 zafxT8nbm=@%5jLhR8Tx{SJ^?_EqPq>sQl4`M-Oh_{^RcLUmx7R@yDG%PF*P5wZBG` zrszA48evKf*DnL^_R!hUO*^r0)EO!M00j$3Z>p((PRX~8BfdSu)C-oC< zj7c~vO0Nls0q#;Gtbn`9RhyJQ9;fa-Xn($Y(DR^u0~ zG=_QxD=JuoxO?~*aJT(+WM6;x^`UPL?f+>1s#PoI%$l-b{^SJ<=S`opEiM0qOVHy{ zHp;OU)Cfc1?#1p}J9V@}kl(epK-^uiV*b4O+1c4JVFKk0wBJRZx6$>o18iK&%evm? zX~RqJK->VV09K&WDbO6WDtEZ7ZdHHS*7oCeqsqu|M z+#N}CcXbnY+qpi&p8b12*z>{K^=n~e8xL1oFAs}Ap)fRZl5f}-e6Lbn2c;hD9dCrV z+f8#<19u%P^2g_{T)ATY{Q22A|IA&kjOFcg9gZ7}6~GF-bOlr>+x$l^Ynf~2 zmF2F5g+)k6h(s!uB}#%r{9#{9BjZF5@6BOx=c1Epd?J-bXug^{+FJ3rl#&$`@3A@0GQMO853vz@(S^qcBYj@eMtrgU#Kgt=#7v!yi^>-I8$jQlp zJOL(6K)e9lZH``*ss?V*%Rm=inV`4RbvSM?RsbvT(iKplY>Pj#HW%K7YwmnCI%fdC z8!VBC5@nK*VAyX$U^Fh#!)J4N?75i48Xw@UopQL9GFU{>ewV!W0Jz&uzkBxb<+GPB zojiB$$j?6?JNDiAGlz~I{ch*p)05}j@(8bf)07%%Mh?|2dA;B1F8|r0sTtV2kA|I{ z)r1KJYha(BMT>Is@&LQAI0KNE;ckoQRf%|2TrcMCOMiHA1F!;}RDl*j+x#Y*EvRr8 z)sfNl45Ik>1hF^>);%|XaW$Eb|K=#sIZ;xLe}vM=Ryo*`62?=jH&I9EaWzGI_xbtd z_MKmD-@bA4*7aY1`QwkPr4KLNym{l$5BC;ts0fU$?_od<8%GWqThg=7$^ZOklcr_> z!`;Hdg0*YcE?T@em*Fm&uGSWJRSL>5cRT4bj+>1YP&WmdBeummZE+X&aTf-O;^L(7 zBB8&pfdS8qm*5w;DMoxwoLmzC+_h5c^*E6)gj_lUG`?v1h`~A+H-+ur7 z-k&!rAK!Rz|IXnP6>GNDhl&Xu{RZy#>U;9F*EVTt2lVNuVP|jkH}1mP4D`{O-{lsR zf$?oEYEZZS#O=WfUrEAyEoj-r&^2s}YoV?)$inM zT{daz1VFxP@4(@1ejZSlB`$lxkhuArVK#7p5?UUMSn~|QGnL(eKEO+4o(Ax%g*{A{N%b-`? z`V+SYD}WVHe+62kZ1bDX#9f{_Z1}@)w>q#fX9$QRS8ODII7W5^ch6q9a_0P{pO2sX z@~bZn9om2N$o|hh|76k1??q|XE!?Z$7^fWBz}*wCb=|1d&A%CU^I^tBW>y9)#LFBk zdKvDvf!;>Pi~b4dRsSBwEyN071=LM}=7??a&eL)?0Oh+pb7^3}hIsKAX>zp?>UZgU zw}HDqcL;ZX`Th2d-~PCG`RcJ_M~@#rR9yVk;iHGwZ#|nj;f|ee9dNhN@1E$QxlyaT zKdg%bes{uz32WA@U9h>(-_Fx4%ivlfD zw)xF}<}U2=BNhwM`V7EbNkG7Q;I1sCIw(?U-0|GK|5wSM4<7yc`_0p5PMkjd?bWM4 z96xnx>j%H&y;b5Yl=tUwx5@9?TTLjKux9nTg$rr!W@Kb#!TRS7ewXWdS@g1VhMSl3 zvaYwY^fPWJRsbuYJ_RiEp1ZJ*JI`Dk7_d$xKAo6S1^cTR+biF+p!`wJK>W}# zezyen`6(&={npLnCypLD`q|lYhkpF?c*UNE!VHAL}-sS?%iHsre-VO*^*)HB#w z7Zglbjkvoc2mCJL?%xg-^s4yX*3hdyJ&9X_6~GE~76n?QZ1bDX!d-K|IT+t{V#%qb z)T)pu<+uj!63aIb-~UYfc^~EpE8BBuXxzGe`?s67&YU}Y;KM!Jwy)m3XZ^OFduK2C zNt$!Z+OKwy0M;X;hU(MfYEaL>)R8rCcP;o`n!8|jvtdC(SB96{8%KW38y+MkTMmaSF{>qq%EuXFZ{yV9n|^3t?O>pXF{_=mo#aT3(fD6qvi6 zHwgSS^*DOi4xDHFZ$ouCm=Z!^zX9em-&Rz(*fK zSwhz?8ohe;9BUG9?y}Cz>$t8*#aI`mo-H|ITuJXY>3PDy-F_PO_SR^9hBa$oo^T#; zH3+t52d~XInwk|?*S+N^Pi32 zcl)9FYS#2T;WdkvEY2IBPp7+0&~1aetyx~oT{VBSar3YOYO6qV#I|_n>A7oYU~XX^ z8xpWe_8hr;?U(D9u3rA~>qGhD^P#;xd-mnq=X*zf!CjF=jB*C3BeOJ*3k_JEC_R~$Rv8fkewTVfK>5b7`EL7jhHJn6a{2nzL*INo zp>Trkm>w1u<9viuBNM(9ihr{RsMbX}1A%ge0cT(9@{YEauwNey2YaiciAC!-Y*@N% zSwTSoqzu^rUO+FRZ^OZ&mz_hwa+h(v40qv`jT+RpV{yZ<0$2gHRiHUy+r9$;R$YJB zLJ%ArA{L3Ik_2I3prIkpiWeUixF$LAWM)=nRJ_v6k?L(uc}LOQJx1Mqpj0T@Q%0uL z(718)_p86$_~!fXrc9k;Z)@P|=NKnlkdkvGF6B>K|GF`@$}yITVMe8|4=C>1b*GMI zaNk}U_I8#NCr;Y1am%u0D`0>Pm5}A;=H$TH#9bzBfWiiryKKtK0GJ(JW6`U&9g7== z6~GFptpaUOmi4&Y&ot+*rKM$PXsARYNs!8f!ayTKzBNx25wtcfU1?{?D+>Dv>yYf;p|-IWY?bAY=Dz36Ckyr`y(Ehu9ruCcBcb60I2WZW>U zKqpdw%T$%?XXLIFxEmxCBJT1&-juD`SO?Tj>$G$AUdaNDen>&b79k#{=4?*exp zS6BUZ>*m3OAFo(8Z^q1tZ_V93XZh{?>Gf`*#83eR+#POQ-e=GiP3`x)X@@a>7r47_ z{pO`hm(w`|;x26XlM4qOHE=C28#Sn)m*p;t-cHojxT#nHtbm#*pu$@2kI%$isZvJ+s7fq~8NTw`YiJlC1*AQPFC2rq`ecanwM^>YdgWs){%j@gwYilY? zA3wTqtz^gk>fGt|&cTXC?mp=| zV!;~4S4W83_kg=pd%&xq@dRL7TU%3KPY?t}5%omQ*F+(@hdRzR&3P+=|i z$7kfOI6)>62KgBqf!~#Y-_6fDJ!NubQYvNTPP}ePc!VkztOo8XI)b}ZwY6|U&OnkR z5S*aM-|xt`@2g3gP;2Yoz}=z7l?~k0j_BFFk-K!hTUby4?iN9}k-Gq274)(xFPFY- z%F9lpP^-?wjll|F1v-TST+pgqKO1)?;)DdTFvu6UYcG(*g|5%fK0S3xRdO0-<3aQ_ zRRDJvu2Ot;xI?%L#D%k7E++}#E(MCH2a@R*h+7!ZGs2IQ_S?+3f->0P&(M?Cgn#mc~qj?iW4cr|M+=Zi!s6hq240pM% zSFJh|HwG(!73dTSa6zkb{Y=~yOGFZ>C@|2670P4u7 zkrvd@aZTLSrnw9CyD(39`LdNog@vegcl>yS-o}G?v()G4n1 zUjO#)I$1%zjX!W#3EUkv?n$2^*EPHC(bNj>M(4XlMMWEcyUSM;6(Q~-v)dAPnZkw^ zEiYTuz(x(7qLXnWu>x2DwNgNZwcH<{lDifb79pV_VzEdn71Q}H&kn}b!ZsAlj4nk&83X*EAjq0}`PG~CTldM6 zixjs0!~k<@lm$6_Tt&aZSG9FM(9#a;^*ZcpDVSJPxN*bA<;#~BO`MRIpEtgsVElME zTaFsI(}vl!f#EK^GHC-0t*KRK;>KVFumYVz0WN5t<{DtkU4K_gOG_Yt^>>5CVlm7U z4h|NMGv?b_N#de5OdNl9?#$}!Y{K5Nwl7Z(^LOX3AP;>{+`daF6sQV;K`Bs`%T$$X zco(I(s7kl#V;UOuP_qk0mjc6!-mjL+uicVwJ3ypPChP);0cPZA3)o-nasNS=wYA^V z(h2R2);$+Ynpn7Lp;S~ICeJD_?V58CY+l;yE->V;ow=_S5WT} zLCs%5efb@5SD`=?)==Rs99*C>cetd^Nj?RT5F%cX3K*MMI3U_Jmj1I=Azf@!~7 zBUgamMcjpY2AaE&GmJ2P+;89|EvMaoxt!y7QO?ks<>f}a>=+x1 zUM6bjT)mB3ixt2MsDlDe!&>fVRJhCJ48Yx(n3$;OC{Is!JzXr zoWaq%ZlIN-fxF)UcS&x}&?0M9ZX&+Y2VB5gEpqn{MI(1flXy?s1@yXGdMpn$d6=_jU5ew>zG@8DfK$Wq}MPR(0Refcf%#~t7< z;Ik>ORiP~NBZFA>n&B?I!u`K-R|)rO6pHJ>-G=&Ia-g{qao4E4?|_S%U3aqFojQ5q z+nYD9YUC~qs&To?MK3#Nn1L>vHmGnH0NlBH8@CoKfE7>&1)her+|M-UE~;k;366(D z6z}KnqpxRfY!L6~wK^m9_|(ad(=+6bzV$;bVSNT_-ZJuwZxnyr`P;Y}OI8)o!jJ47 zxcEDF6-o+DI%jC&?v4-1^r@7+kb*ig;4bVp(ZF4;VB~ilEf9CNY+ki`CGxva&p>k* z_7+A#L*vof=$Z<8*;-z8=tJBFtN>P^b1A@O>eF1e!d<8%6T{NyLScY`0pCzh`OnPU_menkG^O4_Wjz;XFnld(OUi)RPa2HV)4)D9o1@p@M2n=Sq%f8DvV|Z*)07TDO4{#IXn(ag zfxA1Jb9dsDBFJ}XzdHdAE_#{SYptP|8C_$?*nqz3(1*AUSOKg+=Td;n)HbdGw{XA* z;FVjy3*2qo#~t<)P814-2EbiIv7hg{oSZYWXIJOs5l&vU{S50og!08J>%aZ6{?0w% zu7ZS+7ri!BlQ9ftxQi;s=$c))$zJ~hcZnVUg1ZHXyKtzWw>fuN*UO@pvAmtDw{dH+ z0$2fcP@oOga^Iq{+r(Xl!SI1b?uJPqW)RDS0YU?PfuWJa&wqV(_L-TpsOI<#8^Eab?-l?@r-LN1rr)YQQ8y6`Tr7Vf~oQn^*X%W{{K zGqmM*^P!$$LL+xuL@ztK#+37_pcivj9iCs@2CP75RDcWDHm=*^u9an27|mUgEYL5| zK#y-^EcNr>kd=LA#*C`09MaWCVPHdq#FJ~b$bURm^Xsj$M`aHyo|INrKB3nxuLsJ) z0T_m(y1Kf&y!^p~2M-@UgqsX;RicKLbA~p#+Z)x9G2?2G??TRyUyx5j7nb5}IG*0} za>v*@W1r(TV+F7RFIRy!Sj&B@HSX%@=vY}z{P^)-e)$FHTUl9IS67GTxHTpZPtV-}#hUcE+UvbF98mo(T}RfyU2waF zg>b?PeW-A^?Ey9xy)U=-@NdToUB<|efspNQ>QLjvSi=BeaDU+yLIapIAHL>;D!Oc;DDQRR}S^NwBMZy<7yOB zzf0GV6{GrHt>D*R2kr_QxVsAZU6?RILl>5Wd@Am87byeiV(xavhaI;WE1)I{w82{L zTU^$1uUYOUCdg7{$q~W9#)cM#MlxUD%^B%ur%kEO%2xUaDS?aJ+N&fm?x-krd8{-Q z>V5tFyu7`c)7#6-%h%U8EG#r4A{;K9oSYmT9TO4~R zj4zkF6y(1YJ#T{8iN@6^;O+o=-UOGsupSw#i_P^ z6Dh!jYa7>G)^e{|?k33+)00yoLqoRySL-X3h8Ce}C`Z zJ&1vUyKq3@0Hba!cTou%szR$%kiXm}cKw&knoc?fld$eNS_cx%6E4=)+^MY<+_RU4 zoxR26$rCrD`D);IC&3)y!a^{+$nUnt@^+%G#!bZvUd0VR zEm0;*O-Tt43o$mb&>frP;l3p~>CD85Ptvm}uVB*Lwc6a}4=>?o;Za4wAt7LD{rvoe z!qDL0@UYOR$jG?3I4S%AiHWJPagvab$N>Lfs7jkZf8qZ92hN^7`^O)DJoxLu!ubR%vCRsbu|i4@?%Rppw?SN1Kq zsZzho%$txVB*>DJg2RFhj4VcvN^x=7DoZ?DII$unn{*E)ja{qxF1N$NKTk`Vnvx`u zNW>yhf>@L+5vNF{>4}Ni$;o+eCMD-2CS@ncGQ{GPq@;|(!pVykFWtU<`=PH6UA}Vp z;iJFm>S|%iF!H-i+=aq2SkCw6UGjrZ<+-!uE@8wFfpWAZtiM~@9)28@Fs;xq9{FDN|uk4Y}<4Mf7%}uEtHp3Sb4)L;)74%`cvYyRaS^y*@(- zWCW5#Szt(@zM;ja(djO(+hs}TizYn*?z)B$hA!1s9(N^@uO}7En_L7dj>85!h2zIh z9-lvDeE!r41#cA;OwY}ol9fF%J7;2U-lY8eNs}hMHD}HO*!*|hy7l`H>_2t-)Svh6 z*4Ea5$8E-4*v$RbpXBaO6?wB2z}>;XU9|3bS^q)6-R(MBh`Y8lcQvU2sB$y296 zAp_zr2fWY3UAC52O}Y^`11o?P=tK%M$1Qv3nYb&0jeaB&|3E)I1HtIA8LsX-laen? znp~Nh1(ghP1E(5CzrS*FjxJfSe#O%HOP4HMvSjJv#VZ#rT)A)|ysm=RIdhlJoV94y ztc7#uE?%@~`In z+!(lfUPlYK8-%#)0DgB8aCg-jhPyO&IpAfu3$LvWuyOq^=58l?#&J`z0_vs!OWEcZ z&%|A{9$9?6pP#p`K7X`shKu{o#H90(@1~^7o&D7R^U=P2|J{G!<9+-8yLbObaPHgx(SZa1J^0CIUw{4WH(!7A$tRy2 zJb3W%k;6BBx$)%5la_J@*skr5d(_^~iTpVPaCZ=&8CNUoHxRhH4Y-T)T@H7rOnVF5 z?!<``8TNAXUDow-SITLlmRH^S6SoH|fE7@G1)AfQz4L6`g`u(77+)U`T|M3?-3({9 z9WvRuqQb|?DRoXhwMH)TU~%orbvF-vb>zhHA5Ne8>8GELeEZ#xUw`xCx8EK9;m0F~ zkN$l0=VLz|I}Yd3pN<_qeDvs%pH7`T1@*h1fByN$AAkJqx8Gj5a^>;k$56uCEN37a zxJ!xP@2&tbn>Hz*4sP#WQj@HZCUG*V_$oS1;4qeOFS_g~=12q@^p|{N>|Z z6#?-z3zlF1@Z&GP{`%l|-~QjBFF!wc@UxFU{`9{eAN&YBFu2-JKRx)_XYl&pk3ZSB zZ~uV?zFmK{-<7y0d_x&GPFpqExhke|skpg0vQEA_S z=NRsGM}C*#?%S)^uATbUba1;6A+y|NlU~;EvgifkvIi42aM7!7{fXOy6~GFpzXHv1 z%iei5?!pFZ(NVx%Jw5&?y)0+O`B2!^CrB7q?xPSHMA^m@`)8|-`TKf*@g`( z)~{a;<+&?Yu7aQ!X0FYgGi&CY*|X-%o-upY)VHR=PQO#8OkTNi<&N#!_wIpQ;*;;b z`wsjr_I+yq7i8gl(mevz?>2CEz&S0=t=d{b;I19w?&K}+0C(4Mx%*7$ z#oSf@CmOd9E1>=gu#|0n@oe0Ui;a(o^7D4p*W(Qzli}d9Gb!ofl*x#@FmJ-tsfzD@ zD@^oRdhXQh+{Dbxq|D6J%#4iG)Kr)s0eb|6M@EE2hKEO?S7AtyhnGi0WW?ktlecf% zcI3w&&!0PY>eQ)g*RH`@4XtuldEg7GXaNP>g?yLcZl3{XwYoNOck)!=?mKO8w++_| zu>-hX;4X_^CTdXs9>y)i3Sb4)O@ZdPW$!#IcjICr{d`>Y^mv0urr9`bmnK}8SX7;X z=Bp8A4rOC4FYz6BI(bApI-A&7^Q^1{u%M2$wGI5AFW{S+o55+$=kd_B>6o!&+}+&) zbbEI1{{7cqOCCN1=)y8Njog(p`%R$fYUqG*wcGcV2fkDScReDhr{^vT8t8*bd0XTz z8#uIxUUlnF+#aj|RzUp~U@6=D;+eP$gK9C+5#CES{V?2xe3#+w#Dz+lyUhM-rT^%6S?&US8T4{% z8-Td%!3?vpmX~$C>fgh-g;)WsfVwHr9JlP9r{=C@NJt25CL9?R=Hcl$b}Vn$$SfO& zcSVwm1rw^$vy_1mgq?4dg~v@>&wVbQu`aI0j`o&zcGeD#P99!9egT1S`uYbjr;ne% ztGm1LxN)#L4lFyfckkZ2cke>1d*jB9>({S0`rUeps8)$Z7yfbQzVgE_mB3xk zC?(9Bpz9f$xZ4fY?^^vWXJ7`_xZr&X^kVL++w+UtgB9qE3b2%Ie({vtwX|s9Zg^Cf zo0sEQUH+&s`3^37#0i)4Cse0olD=W}wmub>p1<1zcRgZUoQ)mrEUm39Ev;+TKy%!(cb&6}9S z8CPrIZUcFn=-UQ&A#-3NUe@&jdS7nu;opuGzzTFm1z5^9zj#XSGV3$M#K(lkMY#Jq z0e5xB7P`272;9vD?q(`{!fKs`m99Z|Tzo%ra*njN7-DX0G|q6Gp`odso{6rmiJ>9i zc$|fyvB1EHZ)n8V)ide;#t=JuhxClh_dodH=B=Bs7}>F7$B+Gd{L#ZlgdFBgaJUN_ zV}k9a!0-O|E9I2MN-vtbunr{4T`esk_+2{(h2M5YdzA;#E56kx3I9~nOmEhTJm_d0)YdZJf5A2sg<6- z>7c z5gXy|<1|K>KXQ1UgZ&<{_)0-RO=>3P7g6sRSnU*e&o}t9ppZ0gUmGVEYgac|_uSXR z-N)6%6P7@Cck}i33WU?e*~{9>VVtqKr>A#bUjE*FdvD+QBz}je>^HJ zhy5mM6!mb{6AIuisU%_dwVU@8pL|2kTu%DNz`P0NC>}Y?0CBf#7sOrIU(LzUYWlP( zP!GI*!-i=yXTVlJlcyCvn$f#$en?{E>zU2~Vc z51;JTt(&clZDeGmNE{y-6YlQqI7Zie$dD`>>-R))mkRQ0Qqw8laJjWlm9_WXfUwVF z;|n690|G<5gF}VkFh?RRDl9ZIG&mwGBq}-*CSHg_gCl*t16`clLqo!Vfv|ke-9PV? zm6e`9bN=U_&fWN}^v}P5yOnh{aMsG}(ROP}5*9=M{ZIMFhZHlG5WdmmAf9qGpBzs6 z-LACX?G4;@vYtL|>JEmxGiDS4cc+5oMcic$#`dEkd)*KEMRbb(+;bkv31?5gB6%0H5@^{ch> zy%!R7NR~KRnh+f;iiBCkqIhwFG$~P*k|0e^kR&I`(%_VelVYRAVIh&Q-^8q0vp@ax z)BE@DK|KR-_vn!`SFSz0dAAO@TU%XOS6w5o1MWg8S>6A$cNSn(WbYrp8)A36Ym!QL zN_TgMVk;J6(j_I>bW5nKqHA|2jf&VoNlSBMX8!No(b@Y0L3iE%3O;l8I(zQiLC*co zJfD5{d*1UN7V9-|_Z-7Jl&ND4>(#K?63BG+yc*#%j2=T%2JU*Xxw}8w)5mw?md$MF zZvKSbk0Ea#+$GUV_`Ae$z};|az?1@%0^ePMp138?d<}QOXOLybyTDyr2Xif5#W}pf zV<#*V7EQLWC|a?ib-@zw84v~iuK5PlHVzk7uJm?uTe4#1vgOO+wPLj!_@o=wxUF|v zxo(Y{`?@tAa9p{3%_7&OtKHUyg@vCzdj`1M*w9dzUwHFI{-Y-~FWz>-yc&}ZF9z;2 z;Q0*jukvc-)J4R92cl<-LjLX?sC&+?i!%u7GYmEwGnS^TEaL;*O-YW9j`8&N+lT`f z{(PCc-R9W3g}mSW22ul{6rdCsa0-x=?b-Qi?#hDC;N)y?V~=xpHjnVgaZBfkB%7HR zFI(R3?22ibvShT|=j)d{FFd!=Bhbrpqqn!0r>EyOD97mOzg-iiHeLmedhGrcW-NJYKjVq@7*maecJG<9DD{A#$;hIsm5Yw@Z#xY zmG7}LSFpe+)WDA9F4Tc690YYB2OE$5i@PbGxVx2%bjeOPtAQZyXSqvGuno8yP7Rn+ zfKuSQE6@|Sk#3=Rs8iHU(akgs09 ztg5OkE-cA?P+Io9`E>>C^Ml}9szH>?Vli2e)WBduyo;T_jB{7t4$o(pBLVSls16w7=rrkKEn*sS)%g(Mx1Cz>%ac=`#=^FM;0gegmliPzq2A3^)Zy%J%Gh4R;}r z4DuOp?mF7r+FEF7E6tuGGIGKyL9qj-7LS)K>$G=4RSoD02JIFuwSED2lM<3rlJ_Mf z#3ewBPmGI=kKP}z+HB{3&>@%81P~-=ty}Ldg>D5ABpI*^T_6iBQpeW?hg7Dk9XNVgS3zLRyKFR z-vyrm=k6!w;{Wc(T`+n%6UxY_msmYPqIbaEaB9Gm0+a&ZU4fpsCC>nN$<}jTjkt{9 zF65En+_kaP(waYej@Z~KYlI}SjLjb}T+(jkz*N@jR5R;bw5BCC{_(l9C(oZd^5@Cp zQ0M%3&WW63$B!P#VZV;&9D}zfp#R6=BZm+D0iVJi6NQC^<An$+zw#OQ8^=xr?xw(g6JuarO&PemB`q}x0Pp4F z2YC#D+O6BRZrk<|zP)jmlfFdA%Zc9aegmliPzq2A3^)Zy%J%FK(1r8R`67269H8vE zrIzOWIdjA&{=QaNDqG+9p}q45W6O30t!5qTjt$caX~>r?t{F% z-28(4!oq^$qQYW078Vr2+x)zOd{`A+SoG-8BPc^wRaN!o_3L|g?_If?d*?ybgQ8a0 z=Lf6>c!A5n0KWl6Sy`yJC6*wJE8d3UsAZZj3JLqdA<~zyNS^; z`@MX9Hvw(g(A~yv1bh2HFK4_<6e%M@-T`;RsR2_8Pzro^1$yF^JoA;@g)+Jj?>gAq zTU%LZYT)(Arp#C)DwCyeQex-SWMt8%p!q?^y4}O4gei%qz0H@gRL&n>RQ&h>-3<#bVElL)MsGlGeErik-MWtLH_P1?#Ax-_Ve8g(DmGg zzdp6EK`+F+L?hAr-ESZ@07?N$fdQugN!gyAui-8i7Z<@@*wxa?(o92LVa^Y+T`mvpH8T=A1is_SC7<_(s7e{yYi);4j##9k%m1 zk&|=$k3as%$jC@fPlqCq*REZA{rWYOf&|0i`i;V?w<@pR{s8&A9c}RH=k7!3GRA(ZAneV<6Uomzb&4SO|cDIvN3Q!7scLjRlmOS(I+%-2h2ku&1nQLe$0e2_=zFa~!)ynSS ziq)OYi!e+A^Jv)DkyABQxcD6P;+UnfgBI72yib}@Y*cYu?-??Zx>}$!C zP^=P{y``hQ&(^}h)=J;f!ou9#!pzJJ3Z|Qwm>6+1eSLjRO-(sDIVB|}NRx<;js~j% z)(L~vP+0u>*8RpSw>xMwNMXQVOeRFSjr9$srH?OO%TGS^WNTQpu2Uzs3M!z8aEmrh zm{!a+2)Jv4bC+G8AvHM({z`8@|1ID#KoT*YNBmdJ+Xs5d*)@v01O1Vw#!o5m-4*DG zTk_0Ta#u=93gTTyNZ_@1)YaAD;T9b|##vZ&zqM`N>UFKIOEGOjhLqBK`T6%P%o3L_ zT)uR%o$DgkMT-~1rj}6I95$73b7Q|?h1$Bcki7*U^z!!d@$rE;7_5d@uUfbtc=G&(bGL5Y%+1S%8t2d+Jb3W%;X^PP>g(&kZMb{?$%U&` zC(pyY8mtY5V(0WOdj0#_d$(@;`Fcys%g^Ak_)RpMPoqXq3!A5maEk$V9}XFu&c$Uq zVi-+HQQFIM8@nD^jF+$fR-Enl3lDg|I^^xfU7+uPyW!M;DFrA6zPkcFaZ8^0TikVW zbaAv_ps#Dd%Pl@>`~q>&{buI37cG5n>)5WQN7ph&-PSP@hhM+g_K%f_nM9BNyZy+@QN&!lN0jB^-*`A%h z#a(AdXJ-dzLp?oS9qY;~>O!cG-EhK3>HzL9nATth|qn}+(zy1K@? z`o_Atde}dr_I*utWfk!C?VC4H;{46Kw{PCPd-eM5(`PRpK79PN>}g$1&HL(VNIE-r zAvfpLt3OV@2kt_(a~1;0WbdmgZr!{Z80>9jXYS^YTniP2Cq8*QkZWTdOh$0IglimRebtb@~oH6D#F%NVK#OeO7(WvdzvAAb3;r0DrG z2yY3=M`SORPg87x5v)Z0(W5xcP7g0Vl=~!m!-uShmv;e4%@pw-9PAoiDMJDGCE6& zHI@Iv*ePX$hh`5NWc~|{CMPQiZZ2?le_X6jfIsvBx?dmfcFSs@;@$85x`z249!GcW+_`&K zSVTlrcsRQ#PDn&Za9EIku%C}VOr>qx=Cx(3=cdhD-90w1ci-gUu_Y!t_U@fKU`G@e zmE67q{%-Z@OHDAZ#=zi(&>`gQtgbG9PS7Y|V&d{@>bm~^ zf!D5G2e;wT!zT}NUtGCad+uuUU))6*UCg%j)`sS)x~8i4b&WUgb+~&orzs$lMVMn| zH4PvCWDr;OU@nV6ICmw$-%UwLiHVEz3kvkanTwkYWMj{-bkG5_wLD)XZIhxxO%hZ!Zld0#)1VCbQZmn+0h0oC^{KP2Mgy5j;p_g!Z z2k335hD|9zDexT?=!skM%-`Uy14I;N zeB|NLv1|ouVAiQ=TxH6`vqT1;|cQbK%kTx@bobW-$wsCAwM{rI@#xcH>l z_@wB##OPT36`zoN`t;dXuU^6yYemJ6Zrpl!>u%-k`w;H}WuZZhhK9Np2zfg@x)6i` zFn;ue;lG0=YJkp=MMlhN{$)(rPrqaj8)7kXC{12Y66bD0;{NCu|G+?Y?k=P=;FD`a zBm9QGqh6A`q}Tf&-!AIcQ3_BB`~V7&ld~R-W;koM#bPg|F zT()sz=aQui9fJ=#Cgn@ll*GheJ#!}K?3ts-b8A^7ay1O=?4;MeZ!CXXRZ(94 zzNWmUw&Gr1t!GG!xFLPEJZm&x%b@X3fByC0@L`r?M$!}%q+m;lgv2D^F2uXA4%yq+ z&)Wx2Ci_I*kJD^`-kz0mNW-BUdVhdNfcgrQ0+a&(u>w7DOP&GZ0&aWbE}Vxe|JvsV z>|Hx6O>Jc!9&s^|4JL+1mM?wg;nBHhahHyMgRxEJCZ89_|9tf5VL{oG!jj?=$P0tY z=6H$oyaFh@`=qq&F(l#^m*nQ=-G7i*SopA{_;F!DN!gRKx>|hQL~ef3mForfa?1;f ziFLxTa-y}ZFA%itGB!n*Z;t+$QVDbb;zXWzj7TOG2C+8 zSelZOG!!~dOiBiSH!vvB2MVA0`TO|#K^+L9kx_4N-0g{8a2x*P+eQ64N&!lNA3y<; zvOPOr!(H}1?y{CvmQF5C_D;6i`f9xVk`m(XW~N7$FMGOSL#OMaPCcUrn+5NKc2wQG zU0GLK)!JPDq3J_IeM3!6Ev!_luB>jXZ)|C9X>M+AY;43&R@c3<-6I)|!oV|2usr!cZMN7Jj z&6^w+19!_WU3vBH&C6PF1ghUxRaU)y`|jn7moJ_^fBo|HyEm{*_zjdEdk!@ko<4v6 z^krG;b9TJ@^g|O=XsE}zd*%MU+}DK9CQmVE0Jk+zY)zaBK=*zgh7W5?0vE6c(>T1r}4Y*^&gUQ+0>snu-_;^5R_K4}LI z#3#fD2L<@TwA!cE&EM^tyCiyv3AX?Ec2U2MQh-w62T*{dY|jpGmux-r8Q>%M5#X+@ ztSsASaIv#<)YjJFKf{5YHF&gYU*m5TAJ{Jcd*}tvWjwec<|%Wf`+D=H}A@yzpAdN zYJXqL0`6icoymAtS$X`=Q(Lxr!;cK(qKzI!n>y2UrclTXu`5$Vt0&HFnlS72i19fP z?}E>uqACxbZdyi0d_rPyKoD@(&)=6F@qTT{3nd}}x+Hgr|3dr#j{x-*CegXClwz|5qM#hTz#*3Bp z_K3{CF;%E~%$$bFGoO!{cx2dct4R}R>gtNV0lsOO8S(K+!G7EQynX!xz~aSYULT(? zqnC3l3Qm{zKfYbmucH*86!-xYASwHm9Rk24h9QqkQc@DONwWu9TG?r9Xz}ujiOD*f z*z8}mKHtl?efLtz)+=aa*}>E8;5pFhqtTU@5jfVyKwfz)obT2-?)AaHuSj- zlWVtbz#JQt-o1bC{(}d((DHJj5Kcb3LBFJ=7|Nl)eD(6)gZudf1=Te*Z5^<$C3~A6 z1ai+{yNWw^?_Rrh>F&J?B@eG$y?Hw-rqE?oxwJ;dDDLL5zdac`I&;WS^YNo-swxWJ zemQNNB-fKuQGP=EyLS9W@GS3*M4 z*w`2rtC?9Csi?`#;TDvTb~drxw`}DDk4??XSFx-ex*QkP`v$!{d-n0G7murJ-_*cf z6HSfvO-*$T4e+XmyxpdzrVk(BP!D^l!FCj|gK$GbWo6}y7qIKZ!`ruSpE!B)(v>R^ z_14xyJ_E{Pv6xKA?5(J*gmbT6y{M{sS>N!w?0Na2ocEjkTGWl0LuY*$Ir-6`Vd;Yh zn~ob!Q<*RCMKwRd@lvQ z61U`KpX06s&Rtteb8912O*tMuAsM-ame#Q=-SVLh%6h`>G9FA09e%H}AoX zl1KLoAD0w8f{i9h@(T-d^I^eRVPP?>RV#r%1^ERK@4^uxUWkG59Vo8fICt*+;XjU? zzj*Q4ix+I}GP=6xot>?%t+lmvHMMmgnw#MrozYfV-G1Q;J#;6_zykSsTGP;RCBJZ` z4(2i$Ka{4dDDUO%nUa>0kPsifJ=D)PzzfjJ8St`0UUJnMnbpv383o1N@Aarszn4=|Nj#cpg>N1^!}KA zd-w0(9~~c`0ExWk&t159;nK03E^m6WcNrb#V%!5FE5lR6{f&WZ_-f&Bt=Y%fw3GR{%hPQ0)isRh1v9z!; zRo7DDK9Q8PzwAo3iQEU zPUsSIX~Y@Md9^`<28oM{LprXNwUvdXxth8%H@C2)w2OsR^cwd9Z~yi+8xS+wHbcud ztJdC6OFe(<`k#01T)1}Q=G7awuHU?M2WHdq@^ka^ALQoUyLbN%%#q!>2d`TyTi@uV zxckFA`_vbq6!=~W{4?&7=mqYIiHQMsts&*s(nd=|gO^8CQpUyHCVI_=d~g5O)$R-v zt0sN3*Xum;j~~DNtn6NS`4hk=tb=&{_AO-KLW;us+PdnR+RCb`^74v`@`_5BEQ5kL z6_v2P&%1Z!@b<}*vUBIpU$}JX#j95^4@aZHHp1{~sH?B4tgfwX=;(l07wPDt19xxS zM)t)ZCKhxWt%f!z_vc>{h7U6$NLl35K@y!*W# zSnBsu3j6>H{9D|Gg$}k>_OL91k55cWeu0I3%vz6p?|>G!b)AN04cdmU)_D}2Ih$Wm z{;0X7vbDYSLu+f}2Z&n1Fld9+u=b9Q);6#X@Tz2RY-cy<)YjHQu^dP+%Q=4h%(-(< zo<0Rm<32-kYx4)ldBAIz)irj)4r@^OoXL1!%e-?RjY+`FY?w5zx}S&S{q%FfkikY1 zhQqv?0`BjoCWDI%yBP<8&)^#bYmC|4{foW5xedfL8^K*7v4KGE5AX<3Ux8A9Qs6&U zpbzfCN2Jxz&1dKy?;03dTUuM2+i0n3@bQXDDY{xY#<_1Q^bc%X=gu@TYf;m!SmyTl z&>wk^9_PJ%S6Wf|rUHtUS5|^w`vziKh;6H?s$f?MJmke2bYO2EXcZL|&!0bsl-{%F z&RxBJot#(eXzy%qYyQyu9C9(Tg%=_61HsJ1O(fc3YF6!4&3Q!9C01EWYT@t-t zUygbMwgZ(Q@OG_U;dQ?(U0>`qlvX?Jk62&3m18{y}e(im2ZF^@Y ztP^H3m`p}{TYF7y{rmTI0APAo3&H{nH($Ee8osN`z=HMDl%}C$i+}kwbV;?)7EnOo3z@qR?1C==rFZf5YAAvtZSC#v z%B!9|fA#w1%lB0;8yj9dd;a>5obs)HjT(ksLw;`>HSy8lAqTj)%tjBT%~z81^7Ts1 zNC)mlhV0nx9~9{C4=zJMKmhz9@!L0g$%Hb}YUmI5kQxJ}0HwgdQs8U2OGdpUgE@T$ zBA)@~)hsQop{^LLn~;=tu(I0ivHpILU-SC4sFnf3FU`<2YVi#&&puLo5mFiMUAS}q z>g~ICZr_FEg?j*9h-Du?e*Em&vsdgG7wiStyB*f9!3s8Tc^euVaWA5}8iHNeYJx@s z=rUMLKr)o&J$L@n=~E{!oJuxwP$M(n&|KQ+2*k=vrF3|||_QqXUPeH`HWI`E%-hp+usnJpjPzv-1 z1^x~0;`t0#R@P?bmMW^M+`K|^aT zZ^|FPt$6zK^($E83$ZQ4viM@(*RNkgEe8DX=Iz_Jcq&7AIds5nD0})8tOm$`fJr#8 zaq+t6%uae27{q7KU)r-bI>6sAz}G!I)IA_D+-?04GrJ;Txw^5l>L*Pr8$IUGkfD|z zx$DQ~Zc@sQkjRk0;O$TjGUyX^KZU$~aF;|cIlBg({%{YeF;EIn3Jfd-zLC4IZbCu= zUngv3Wn*e)sjRBP%`2>^;Nt8M?Y$*$M`-It4@^TJ8!Lb*Xd+&LUB^y-cvxEbzV_Ao z+Bfei%3r;C`{LzG;O;Y^FYNB~^eOlZ;5HBqT;76$f;)HaKz)XS!a@KtESg~Z3|$OH zduIn24@Zt3+ve%zfSs%dj;r_3lFJvMvDFpCjGU|&la zFF)_p%#7sJ)SZ!0q1%IlVQwuDwsikOyxT3Em#`X0!(m|EZECcX0+a&%L4iKFOC~cw z2aE;MTOiNF&q6&iX=!OQb8|a;dkbqDRZVpsK4AqpXGgpJTeswggtl+kglQRJzX@UT z8pt;P_RJ&iFW-24FBg_fT)7OJ{#?9t{=$U|7cau=(xpq6Keek@uU=y}IC1{`c|b1& z!H{A`XD|@lXXs+kfxEBYzBzV02htA~x-77?(6_fYu(DmDW4v2l>&86A_cKL-yAMZ> zJ}`Kw*-$Q;qN21X)Wrerrlm&hj0z1QxC>STfcGPNd$Sq#xSo@{ANLcsv~+ZKvbMKZ*U{wR7m<^8w71#2aZ_GkNXNQOn2s?v zTNG2!LOcW84jisLaVGE7xs!jMJbLUHypHAMUcU~L zZm?wS76iLs{X(uUilR{e5gLs~XVE(t9hL7Zuidzol9I9`A|fKpf8V~q@Ey@^9w$v5 zOJ%e^%o3}g_*>E7At_vgjfW4WDJV#9_4GP{* z=*4r%J~blb9dI|C8Ze~*rNDPrpf~Qqc{0W&7!2g?jl1|hKQ7L;jt-i7+PwTCvQkh3 zefNfqx&GVR*KWjgjL=yk=zLu?Fr1!q=EIGdN`nG4|ULM2Bq4Tw}; ze6<>6GC+f=H9Uv+{d<^QV=x#5blKcxq6|8#tG=o3;p394SFfBtdFsO1oLe_foH}zk zd{2?k86TH9O7E8hXWpFA#o{j#*Wx~$~Ui=?ayx2+%4O&GrmG>jgf_cJY?YlzXf zF?c@1HZSj_lmjtw3BlW8KFuEwciG&3qN(hx9f48dQWkbWO(x-1z4^_KuYgRR)PvLGDH8vmO-ND0+#>2jr%JNX? zJncYcd}8AE5a6y~U?2p$Y@>ky?^mF=r_0+dRK902SzsElz6P?PzOhZ>g!T zdHSp@KR@sOy}S9jH;Rj|T)K8QD(>mRb+z(Z9TR6Zj2Txnm@8%INRu&RXeui5kgJ<@ z@F0{v503~A4DAWdWEb=DEk;E(SPD!GLq5yYuQ^Gh zHMKN1HaAsNRTdQ&UAuPm{JAq1&L6pSCF5|;NxvNhHp{9cRof@dXc#xXWZ1B@p(9O4 zKwTVVxqzU6gNF~LXQb|k1b^4>BX_}T_zJgyte4Xbz5V1iQp2DWpcEKD3XpK^qr=JC z&&0bjGM1KBz+DF?CtW>VK0XmCF>6b+$TjQkd-}JpT#soPqccPiWqoGwPR7NX^zv#b zmivv|g*@I5EzPii4R!{)e(m~&^JgwyI&%GH=FyXc(_Y8_S?@Sl=Zfff|y_%K)0G2Dy0CWKtEBS z58RR;^}$`p-<83+Yw7Il;^gS8r>DoyFCrz5$GdK8?rrgDS-u9aH8z@3lHTx%uN zx~B0qO`Z(gO&dJagmiVD)Os4eSYR@#A-$VlKWAQ$G<@ToPj^?_b8-tCsl+fQyI zH4I7tN`V2S014MVI$y zqCg+GB|qxPT{r^`E`W8yuwKo=!otzf$==RhTU(2dS42+A!Paum>b1F>yjvH$Au4*z z$%0H3LuTk6=H)xAiuVYU19d3zr~Xzra%j?!A;u$yK|L~AUq7D%uwE@CDIy$}3Bx~O!Q1}^cfoKVX4g1Z z4)>GWNDYHhfKp%pDL}%tj}9P~a}5QXxj1y85!^L5H+OJwu(h$((uBI_A__9j_SXB? zuFv1>(+1pC)@6*J$H2L}my^5Q%!9x2mPW(5iy{q8jfI6p$B&(ejgH;FFDxZBV&~qh z#cOlbEULvcI=RIgf16P_W_a?jAtqx+LLQl%ub(&UqX|1^!v1O@!P~cod=+Kq=5q6d>^V*(1qU=#cA#iIZ?7egwEHB_(BMX69gTZ)*+vtEuzxh$zXq zINHXnb1&H9+rDHqUWsh107Kc35xSRg`3{pE@BR(S_PiA5F3Lh#HFY(xoOu7f=yj{t zJ3Ck{cC~SI4%RX|E2Z;NNUe=mx^d>5q6uSCh7UCv1^Eo~A>Q@M$V^X7Ns5Yy2!%Ck zA)%b{E_?MFZZ`C^8UVdLXV(a$x1ZcbY8aFPlmY`tfzJ|`IQciZ>tJtVr=zLK&&$c( z4xGE%jM4lIWg|xDKIY}S{|a}(rC?#q>YA!^=g$H!tt_o2Md!&%Nl3}8nJ1gcuT(r& zwTWN8ft$Z%%Ea{H!%T-jK7*o^kMFjO%v9LvXIEroC_py^4|<_}a(MB7_wDi$vum7l zYy;?4Q$wW`pcLpQ3Vb_vB_$D2kE7z{>h>SEfHDiB|3BxC?nmjLyTfSIO zqh3&{j%VJ(sZ%nB4L5`6TwY$%+hhGGEm^j!wINCel+~pUQmvyqY*}raG z{#LK{B`Z-yP3CZZrn(6$G6uPsi&oWQ%zwUC4aYUqBZFnebb4JwJ?v9)AS2Vs*_r)4 zrjDAvZJPKw0hMPGI`v{IH9UfkCQZp4Is&J%tgNKBr&mULMp|mx?%lh?Lc_KPL3tbq zcSFdKw;OZ`?h@$b^cg7b4$z048aAasKT&|qt1leB$X%#=Zf9$2XKSOQrNPf9A}{A` zXSaX-`g||1_GK%e@-E87i)xynd*aYL`Dk_Bw{sVg$e{E&Yy=ORu^r9HS-fNke1+k| zxTo?4&y=|%q+TJT`$0mrjz_R`;*{(m!_DDS8EFacZQdDandxZp zUjlZ&0Q)z%3uXhPl0hNK^741ES;dA8>qNz-%gAX+&ySm@@=QdtT~>!Fsocsd@M7|W zKZXslgpo>1iF2gau7RbR z{sD2VccR)1IbD|I{8nDR7n8^RF>J6Ed`n3Qku96Iq$H=p{%X7S?+uTN3n7lO;yfAe68Q}F_BeR8wA68* zp$B(==Kmk=vcA=4fV${Vy_eqA)lgrT`{3^O?fwSFsyg~sDtcMsy4B)(sGL56$GgDY zv40HZ!nrFZCcJUuri8?#gyiI?eS5;7MnhP5NC?i|zR}y0yWsT_Q)?7=`_;2d4Te%+ zpeXR|+$Gjc*yHKDHk#UO?#gzLcR9F=*4D#j5k$cH506my94bjdN<(KyV?%90{{5Z1 z!fou$wDs-fb+RQ4YNU)Xc|%l6rInW-k9UV~;XZ?in2@`NM{Gi3TvAfh-aTPEc7%q4 zxf=?NL@$}hOB%g|;m|GK<(y+1DEFBfC#3+TK>tzT8@WsDCycKXc61=P%gZYwk8?NL zeQklaX9wgnfWP~*0H$pQ{w{jI0Jw|(E8JzV=nQ&WTl2H$WrzMa=o8?-c%_e#-6;i& zdTA3(-UyXaZRHbqIeGk%Aw%$ZS6EDF{YH-%;BIpA&VBnLBBR1X!yt9hYG|ty+_ke|b9YAEbc0hKM9^ckZhx6ORrZ zYE5w0edESh@EKB5_e96++_`f{Sa>*&UEpqamzNX0WL5(i^>VJH7%2Cd8YiUyr9l5t z;EUWP+}xi2F7bm9@5;)`Lj7GwoV&IJcjaW9?X08MugUk?*3RZG`paBQ#~h1JM05Wg z?shR*bSR$A-UG9vv*TS=)q|q4qo+zjcE7e<(k`x#%ILAgmD_j)-b|jDGh~Pj3|0ty zhK(EJl9H3s(xYSJcJJB+)o~)gYar0u!{z-VdWn#?|J+GxB$NV_0s}>XzPSsy?VG!> z&ySp(oVB&Jle3epy)F2=yu8A)GR`*E``4|>_uSgP6pwe&!QHs~t?Pu_=?r*vF_{R8 zN4?OmsBOPj*qof*>g+}r)L=qa(TgUAX?w(rMNp#o^fiNlmh)tfp6!oyu7@Pjg524Q!DlEyYU{q;-|b>BA>@TsYxt(`7zP-xZ$XQmuriJ^ z7p!LTDYL}Xn8Na{bNF!Xa&fV_DmY zAM54x%qb%c2S9IsyPwo}C|5%T^m?vm*3 z|96-gA*BGNKz~!9H|}z>mb2fDyF-T#m6ull?k<4+gzao$y&5l%u%xuJx#j*NCVAB<QSiA0Pes)Zjisrz~IGV zKw1O*p)*isT??!5DKjI7xnK>8Uj-4@WQi(u@CjB-n|5mWaC`WcBEoYwZP<{Kka!?1 zBR(N{=kC4R+4;MW)Bue@FA?&>k+d4111>L-#Y-lX0dy(u4xne88Y-nge^cQ9gS+C= z&Zd^pE8OxoZfrvy-lrA-0g1aV6)|fYU_$I0_Y-EZ8>H`|D5Nw%P8rhBj68kG$~nzi zx*oWT$?2dnYMnyj6*Fdj+Y`M6cZra< zThvQr@eZI{O%0V&fKs5JC_oalXNRzL3D&}=By^!eUJUuW1b1Ox4YJA9)s(rpMWkew znOUYRUS70neXH#PR6+%tB!U^+VsR-*elfGI0ap2bGk2jp1HNqH6L-30v#f81LdS<3&W@Q~DxJ$ST|CqZ($lFhDBQ*?40ZM@Z zqyS0Ko}HecB^gX!%*kCVD{EC%Qh-vRpC~{Qv}dOqcgeTJmGBli zz+FW}MSFXDXBQU>D=TG{`LpMUNJ+0Svq*DYTD*KstCbTfri@J%#Y`Qrq;#a{A*-Pg zBGqr1R)c+h{^BlP{~UY^^g}E9;tlfWd1ScY%c!T_WPuvuB+hF~SK(Dk>(l zb@NuJznhtr9iN=EXYZaJY}iJ83V7KL?`PIfa9Rz-nqkffwtjLOsbNqGPznqn1$yF^ zJVSz(KrH!|xPUkb{w~Q~a|=r)WyM*uMWkg`npvbTTvD>st;K<)F0h;VqZ8;M@dTwap9MAX|2y#wf0Q$wW`pcLpQ z3XqiT*&#vOle=&RR#L!^0C$xX6&)NLoSj`v%`Fs^RtT*lwQExxF zjnptG1tDgFm8TR1=hTFT}&>-IZU+w~aTRYI#70Bt!$jU9KfF>rbg-Xw7h=?`J zo^^TTh=uT-1ce1XHf>5uNjZ?6m6VpUKYD*u_zoyZMsT+$ddV!_Zrmla8i>Gm0NrY8 zsFVVf0{ujR-YDDi{Aalf>(!J9?m9Ue8JWt+%FLVr++A&Mp5?sovCEPULn};30sUPH zwQgqHn5@Ggpw6+b{twOi~k-QeujTHqDuNb<~JO@NMP^32yN4 zfXya=yUFP((J|3c5jb~ud;)K`koU7z1F?3E6TSW9Hd4c&6rdCsKnnEaYj0=z=B}fY zvw@+Bl(ZCZS59V)g;}Pyv}PgW4{^vW{R+U;O`Z_iZ(D_8RKug$d|j z5}K%l5=&ULdG^d3qekMpyw4RBbcgy3iAe{tGgC5BV`ACd4F~q_i1@@_KrdFFCuN=nR_E-Wvz*3#^tlT)dKONXurI#-@G zLmshTh8;YHJ%5F@wEo-iE^hO-ccJg9k@MHl)jrrACw5L9+D52=9CL;O%V7n2_&E0R4c6NBZ*iAh z_8hF@kKC zCW3QUcD z*%1eaGHd%zb$x_SmN8q2>FkCaIf=e5$J#n@P<~6J(YkRL!_ZDTT3L%;x`nRyM}>`8 zqFM}L1(twl*Nj=YBSx-fb63cHjeA0DVpew60pM;@?2ag~8G7X|M7@O7Kyi0KKj75h zDFynW0=-f8v*!u)!bfC>;4Z9Jv$J>5($*6Z6`DC+NJ(ykwfPYT`=^$+ovL~WuPkHE ze5T79PWt*js3Qff$)0+a&%T!GK>wYQTbZVB|lkzB84XJ@aar7I#T_&?mW z=~UHa@ygQYC^LY&$4;}k+X?aRHwC;9?-F&-@eL=~J_Cw&c45`E=#@LDM-VD%!V=PC z%mbf6j4^Xg-iXobV59^P_hFr#C~Vwz^Deq21eG*L=4!A6 z~Z!b~HUTbtg=x{V#WWy1azj&@;CI%m*Uy z?T5FN8WN=dr9eMdpc`LFrUF^XkDw2$f`9qt7m~ijTeyJq8EkB9l~q&)=Fa5f71mPQ z>}+?!$@#g7U6;Hz$}Pnd(_${$2;619e9LHUW5I;jxB0s$ZV}@zaCV^~EUc>&t*=Aw z+(*5_Fd0jfUmd3NFcBFB-@N?M6Fq3O-?_QxI@sF>1q5cK9XNUX&&>3UojW3-K0_qC zkx_3SAuqXtjdPBzpWj|;c$5N^0{u_{5~V#moZJQI{`%{$w*WLtOG|kLc|N|0 z!h&Lkx;~3s&N(l9t!G0QR|oDQ^7_acPvrPH#;fwK)^-LIg8a64_b=!IfZ09+y$fw@ zK=0+D{!y5UJu0Y)@X2Gs1a~KFrqTFj&6;a%W#jAPpPrU+^5p5v%uL85g95xny!$oW zCDBX8y946UrUpqV&~FtWAWC2rj_{TMDiQ3G7$zHd`D~G(y+;peHXf-&WGwJ77yR+#vYJ=%vv(eiRRUVRDT@ z$C{eZ2L))*ZcNh|71l<0$Qd){S(saTdHJTMXPi8JCL=p5A}S&@ zJQM<50=>P(yW}#8Zrmm4+i!0yH84s6N`Zc>01%WDy##kjtP-FlE+9W5P7+`y=G7qH zwJs{mmmkYpt~oMa_GB2L1Qyas-D*sx)+ZUX#Wh<7C= z#Cf>~%SbC)Sw^i~anEJZdlh5GY-x0+C`-*8*&K{sxX!AoWpvV+{}0@SZQYxjv4RpT zA{H}Vf{E**+;XUZZ08)Ig0T|=Xf&1IrwJMx8gAaS1uCDPK6f$m(4p|iaM;Nma2v&L zUyORmc$YJyx8L4aYG9NClmh)$0Zu=GL@a4Ia8j1wE?h%?3O_q))F@?TWvIVvX<;EQ zCd$kGtD=Ico!vgS)rBrg>Xq~v(?pS(!b~komS+g8CPUuWGrJi7K6g=!$;1op6h6YD z5-{@>n4~^BTOQ??Z=WqvFn)3MosI4uX=xef&R@Aii(P(qa$!vOjMYUmrF%O z+sQd$t$XQ$r61&VnB(TLW(qU(Y*@Y#=+!$&Z6j>D4rLYoU4IwsURX)d+K!bx!SO(1kP5AwHcnX_Bg{D#W`M7Um+t zf&%=bG&GDBEJ|O$@g;0FA*00_#><)|LN|6~2JS*{+(+x15C-ffj4ycjrg^o$e*R+* zX6m5u!=tBIbOz?+j>#HfGZYY>`E9c$OQuba9rDY^E{z89GXBE<5`w$Rz!kK83f-oDYxnb<(mcR<`^YLJuylmh)q0bnW_ zzY^SqBaoGZD;v9iahG5)Tmw{wO@5|NpAJ3)I+^QWs zvd?C79~nP#^W=$23bJC$7B5asNxORG`q3lDA|oQhLc@T#L<8u4mbUC<28PQQFFUtx zQ?v6DOkzIv)9ovK;y2ENg2hOWOvWp@{LQ zG5F;x`1vkR8yhxpf~L3_-vSrst;9~eG=uDY1MMy|ULqh`=2?KZe_~#0XNa-7{a$S01tw)RF zB1}vXrTvCV%tu#mMbnO;rO%jMP_GeV{=aY+>0+U;E0Ngr$S0{!sK2C}K-TAan*Mq-{S7jhm zBA|ym#_-pczemp8U~b>fGF^!Bs3QDoT>^5|0&^cspBy`Jf>7^$+~NJ7`V607%-&|Lx*oamfEks-vRR4F(_+q3ZJR6m zo?o!!_utYdOf;S`lV)XQnx2|@|4zZ#v*-83?2gz8@h-I8(Ab?_1a~=cOZG{ZmoxAk zDEFBfC#3+TK>tyIfGcnp_)7Q*#2JFY&?mXec^C(>hc{mnEp$At2qrH}BbRzaJhu&V1ZNnu&>Ca$@S8Te)Y>p5GU@FLKuolDh5muI6X>XqH=#Xz>+n}h&;E_f6=Ob2&nfp>0xAK{l zwJZh`aLZow@C}({y>qv@4SVtmJ8~9t^G2mjF(D0vPlnDbSU2tW^TS3sz<)4Q)D)ob z{F+e;0PQh-vRA1XlRCy>wuxU$1t zJl+LT!I5M$=XqeWgoFf`4D2K_Jy}@^?l~fAN*f$)UdZM(ED<%9vl}xh zo0IvB4JxdI^2sB7qAkDAygqcq zBKS743etf=0Y{D=Ii7POEAvo%Tp}gwvEq5?cTVp{6nE{^By9bTy$ zb<9&>%@SkC>M)mYWMv;`mA+s$wJ>38HJrO-D#AZ<8hYU_{9Q0>S5uE(zt8fDq^mhI zMGa8C`IvxI=M0{^!$-kx?jt0mB)55P&B)9+e&WxZV}GWmqyu+>uVlyz9cW}$1BqUO zxa1N1UBcoW5I30`B&7hQK)+G|;$4EQz*Imf90~4nLKiN8w{XOn{3+)FE|!*-26GqC z3t0^U{J+hcD`lV;vS{Hg2dAp}x?Qs*STjZFs>Td=ALPV&XU)wUH5PWA5EBuVcHiKhn4J9QsZ;09U(Cur z2o?jRhyiE;w}j6?a2L)Ht#9=9tD8v;hEjl1U_dAU93_|v#03U(a+lyS8?_%JV6qQC z0v8k91#?$ILY#Zf2uX1TbJN|+mKHiXH^^x)Cd`9MWL^5!4DV3%!VR>t4(WtaWGHMU z{Qt*Y`1@PiSp|=~A`;uoR(HynBYbMuTm{B#!Q!zK!)P=;K_R)7ZY%f4#+*8P_S&tR zM~)o>)`D>Wh$Xn&8+yAr3Sd`onIyTgYM zmywZyco(MDVAUEQ&j@8DO*`9!l`G2}U0S46SX?|zZt*Tl7e?rAr~rwlcrjQ|oZlD%#vrF`!d>`Hg+mnA$+QsfIM@S;FAfH<7hM&fw@Yqix))g-g)}`xx2X!PMkUk zsSMy4a9Rx{dV$2yx<$PJU{05}U)@Y)QW=3 z0Li-u#`bu7vkJcAeDD4)#L}G%X4&hml%t()zH|*+gm*s1Bg33N@8yK4hiSA8JiMy5 z_U6H%fhW(NevqGk>dYC)AOr4l#=F2>vUNi*naE3`cR<`^YLJuylmh)q0m9QIiA#_b zk8nT5xIkR!bCMYPW5$e`GiMI$tOofEuu_emk6%(u#LU>$b>Xq)E32&?7(((a+8m~+ zGJT~7Gbsx#e2g}?BY5Fmd{PZ|wSa{WFM9%#Oxu;H_*|TR4KoqzOtOW)`BcV%lI742FyZ!3n zr3OPOFhCW6ZKsA!DL^Uk9TgzC3rr=r3rFZf1H=*-CIAda;v|H~vu4eb zm6g@h)C7}3Sy_pjn_o`W)XHkR^Maf93z~II5gsYVBngJL4KpwjIeiIz@s`y=hox#@ z?n23O;4Yrk@Oh|y#o0c&3su!?8zH3uP0U7J*CAqB=qzbu0$>8}QjJT!iSshGqt z7Z>Zq*w{;FE*w34bno8109U{*1isMlfR`Qe62$Ep?2_~)tcLG+>!@E%DL^SOKotOp z5)GJ2aF?VkiDB|QaSg<~e0+Sc%>*nG*4EaRlaro3n_pJW#lkAa&MD8#u1#5wHAk|G zN2$wv5o=dGdi^e1Ud^O4@d}WzVggbcSa?Y?NaX#8?MvJREBVoL^zbQU)i$QIA#1iA zI*y+?c1FYCF=t1OvEt#QS(@uYkbCM#&Y_H~eS7x;Xo0>&BhU-sFK49NE!gFB8~%^I z^MG&aI@`ExYuXy#d*ZzpHei;SX73O%8?*P`dvA=5*?R`EcRJFM?l$?_G-;cpX*1g- zBq0Q_HLv_V=f2kA=1LZZ?1s~mt1DTyrAvQ*KYHHhyytuR&wlS^-@5|$uE4i=1-N%h zS{6q-ai=dH!IQyY9I>SjiCwIiC@RW}kIyJ=Gh@(@b3;eG(r0LWn{JN8;)dMrmeI3? zBj=od{EP76$Jj-~~4sX4k8UKMz(?tXDdxyzFbT;obl?&;lc z@&C5>e)YX8@V&kQpeayFlm&6YR16n-;Wae54R{L9m3~S*9jhyHvU4gbdckDq*s)_y zPHJLecH4H#1`T<7(0%{v($}2Z-kw-o-(i4d<_h7$qs~`f7p`8jI7IG(x(;wxuzYRY z6(bG8f3FI^_>*n@K6Aeb)}rpt*xbgjqfYL2$4?zQefsp_!-qvzcb`Y@lIrE!7Yvr7 z_gnc#yZ1})U4eU7;Cp=qKv@V}SiCslJ}zL)9`{jViWmlfwMvB+ca?s=CZnMsx3E|D zKHbZErl+RICuoXWckkEl^yo3~4H|08Y%M4fgp9WKi8F0=M{U3VljGB?PP8F&IDuZ9 z-HtVDSUqv~VFtJf-uzu88US_SbF1SoZ`zJrvQA%V?K;GkROES_{Jkr1?+Sb?SAd|4 z9N8DR3+SR7q>rBx(FQOWCq7!054h{+@2`!Djw&uL?$xuRYk8NHu@#4voC&}XF z4g=6j0Olv)F3DU@U%LCP{G;9brT4DDy({p&z5-CXfG+7>@*G^9%6xoP zJ{pye8r%&D4NXl?Z(G&|*zMf8ZE~yR4D2XezNlaSXZjEMxN}b-G2f}rX>8x$xnh&> z@KeHTZwd`&>OY3euGpX9ORbsk&RzUyP#xSgJM8aVas2f6!k**i`zC*$(%u}EZx2uV zI4bE+x}dtmgo**ZIxe0&>&T%44?XnInKNfd=}NgvBnExyOZT|@z5c)Xy%&G)3Vf%o z0JcdZvCEBKs;fcf!pp_<^)_bD#`rdkeMUEK-|zhCubl6^?_|MUrf@NVUU5%LoPLSXyxzsnM@uqD zYMbD=^11MTuQ|^=Vy;^7SzeEuaizA1och>|w@uNPqoM|NC`p7sD{$`$d@rtmPNxHRIdplJ7xgnGnvQ|HATTSh zG5c5P>z9(6*`ZVSF5P>SwJ*-jiHMGB)w+1zfB_Hn8~FFOU2Y~9H^$^O=67!#HLLOP zxyC=f%-Z21HYP$Bq!mv{?|upNdgCrzCk!(glJ|Op^Iz{fpL*W9aNYHegKxCzXbCN_ zM(11&jQ>$k=%}=K^?if-R`1>Y$Rm%OKYzZit`5=`+y!VsSsFOUBRH3>q2LUL)$qOe zN51zW?_Gg=SKvE!1-QcinF|2Znqfe;iM!0&_48%zA$<_t)hV@^83kRt_3zfbZ(&h> ze7qtmGP7N~4MT^$(0|bT1!d;23}<|SvwU#l?A48zp2VIz&TBUi9Fz9j6&DC|5Z{&R zZJxWptyQqwU?Mx&R&F1CD!lMV+vaNX;0g8F-RlDj?GZT*ri9-H1TFMe9AFr_4ymzUQK8~(SxgRW&3+x%NO z(@LE~#yi*TX?*Sl=X)PH8?0zTChlU{#9Cw-ScVLu_pXO`?mBIZyKo!q!nGT=Kfh`_ zeA+&Bk-4=0&45B%aJt19^H+UvtwJ%fq`33Kh4T*{JP4oR!i5V!FQhG43jmYGg#yL^ zWr0|57w6p2{Q2|d z&6_)S?wl`<+2WYx8Z*T)Lmbn^F>Ttksje|a9FxT{NgP$;m?(}3;utTEajr2|9AjK# zv^YkIqq4Gcq&P;1<9=}rca8hRF-#oT(6r*q7 zz6hN676(>Z@=+lUEWGR?4y*^F(aklmHmHj@@PBoz((EJ-oM0fnj^P@mY+u7P znh#_f8$=g6|DQSHP2oL~Gk_C_RGd@41-eV`8b|t)^g-!2;=AO~#rL3`2K3^45itXL z{d__LgVPezN{h<6bner>tXr#AX{{1-+m?>$)%$@V_r2efj$Uw=&`aFKQHoxV z-1UfF7k1e#M=x>L9le~pgkBeRySuQCuz2zFm-*RGw5wr%;j zNA7~YGVaRI>%uO({gUVT#bx=RTpq9Ca!mRc{}L3Q>RWn_uO+Z8~|GI z6_OXymd;7wg26bUM{tgDTgfX$FYy)976V#W1a8v-k8r)qxl5{-U`)anq8GRYYo!Cw zr6Cc$%iUEkX7!ovL1vyi(x{^m>%MEkQ5z zE(S?nu6j9orQDUF7t)thFVG9eIUw7_u zjY}t-yTQT1dc7XXk08WBLe%+R(z_&N37qQxn4?VvC9=4H$T8(2&1$>3K7^v@tHHv9R1ZaF9#1?_KG-!WH)LDah>c}e`{IroCKrd_u z44iPuD;2&{^ulVuaG4G4_O8)O!q;8$!f%kOUYFT0T&8-VcS-Vc?#j^1xy!8vE_u1< zz$LF2?#j?h>Q)rMg1h`281~3rW-)xxWNoRkER%2pe zV~?SYb5}agTz0(pvi+lv?KZpU?27&Z9Yov$zjrTofmScv#m{Aemo1R9J1lm`_3I5c z{`DWr)4#N=++prJ#+=>B9Fb{@O}`$U{z6p75>rh39zA<+-MZxgabN)jifYiZ(7WU| zfVDWs;0)&UZBFvS>m`?$Okb(t0Ox@Q=nDpeufQsfq=U(D;LxQLk-W@>klDRb`RiTv z${b%AcVYDsZuyb8D^iMu$;yxxi8kQ%*kd&%mR>0PPhCAWd2_qJ|>N68DX zmsBrDue;uDPVxf4Qo{kz<=mwc(d1lNZua`^SmU9=X*NfzZ)eG+82<~DF8dwcTrV>jCIe-d@KPh(!LefXR zcjsKWa%$2E-a8aO2ukk}M?8}TJ%QdS&Vgsx;e=isaTkL@SE=$vJxy$fqcSGFRaXIGX!oQ}M912Yu zU)rV%&;z9l@L;xz%u| z+$G7&-3C{EjootelHPSkFSi=VZ6L`jUGzhJ6DfD;K^JzLp2@!*c&618_!bZscX4Dt6VQbe_VodjO~Hm%DXm(U6c-g2 z#Kp%(Mz+bwoKjr+K)X(F7q)GPNwWo}3xz$LQ<%PV`o zwCnRJd7H9wx{Mq-44eaZ;Wl9H8n_F-LhoXb*GqcWh25ssyk1;hBCeFXQhR}{UFcm5 zuvR)Cc`@)}xXkrPTtL?&cR^q7^^(!ckFXkGGLWZ>;~jCATwd-o$hb@BZH~Jh(JR%v zT=ja?yHd$3wHjop2F_hVZ*$!Bh+Y|Yxyvi{9H_L$(M$G%^eAzxe+$fxX!v5FSZ8vUOoro4dda_UbtjGD6i;jH()84p?o;wyt zqs7(;?lSD#9Oi2c*Z%R=%_n|h-%?{6I@z4r#gy< zb7z0_lOJDr-~r|=)Ycq0P*+pCe;=xBHFf)Iku0m;TfJv54z8ByoVzd_D9bC&lyUUR z3T#dFG}nzgeF?p!aowRyO4kc_IeIy9>BJ*m?!&!-UWAM=#^Ow zEkQ3NFSmH1dReTYvMEzW=#^Rx05Du$pjQl&u{2prxC^(zBX^}%14&*fddY2&R@bDs zMVSqQc#Ox%&?W5)=;AJagrk>?UYXs?(JS>C+^q(8z1tG2L8^CCQ&VqiHF!ZUmC(r0 zm2sEQ3yT+}HBf}eN_^s?kcdSP`u;-KJ9qJBflttv-X^_wI>(W}Yn&6I=?VB2Tr`}6 z=?bh>^Yhc{eDx-^Dbx@e6&e{A9T6KE5|NmiHmzNob8XwaoSuC>G|3s3Ar$oxMobrW z91@;+!SUw1HnY{@6qk-U#P(yLA=TEtRDR3_-{!fC$eJKn99Wv%h(tr99UBTc>}IR^ zgDaLlzHC2v*)e&Eqogmo1KTpnETuiKly-c!xL{^>TDxKQ4c~w0z~fIpb@9Om4<9>z z_}Gy{hYupPyJP#l12snvA2?RMuX^X6?R)mJATReB2)EKBp_c=gj9#AKh0ow!_!4xR zlf3X5Fu2j{y~akkC36=?aF@_aN|y?3oVy?{L6-o`;|*ju$f|2n^m4<2*Vst-%Fs)0 z1CHG2b)|X7alPvWy;NY6qL;Ya67+JPfw=3Xx(4A3?#j?B<1UxHB5+x@frh)@1$QyH z*yTnq=dSl4FJ*b9=;fs~DScbQU75uT^wJ;zyBod8^h(i7vEJKS4FqFK@!}&hF$PEJ z;MDmaIN|S0_FiO<^Sg8+eKIJEL67ru;x0Nts`N@NVtJ;J0Aq;W6c`*C8l7B{nzb%3 z|L0j*??uO({o{nh*0w?8?JIW*=O1_e@vp*vuGlO#vqLajZB}%C1PWO@GHl?ve7$iO-OkWy5@OeBYiwjYxx`}5z;%@6G|KfU2b;i z^XG~_hx78rcP{U_X5-pZ=g(ev@S$@TFCRa7V*i2tyLRv0wR7LW+QUZ<9NAY>y{mdB zBrmxQBzC35<>wr|ki5iQ8GQ-8F2#Fm83hanDR;TW3)RboFGnx=3?9)-R)dVYQuG44 zoV!x=^3obknnSO<+W_=($xHN=p_l7j?)CE08t8l1-1UN9$~KVO;E}uJ@=~6GryA~> zyWH!Ay5+bftAS)LL04uq+$ncu`CVRGo;GBR{AU{`TF`EBjK@U6j<+Dzza%hdi_)MC)%<>$E`;`SEF$$yrB})BYA4e=R7& zW=gi_cePAjY}YF#mIeC6h? zTh3p&^yp(x9Y1ku_uk$6YEfO=f1tLyu4ZrT{+gP)+M4~hqP@~Q1BWh7Zp&R#y_~x^ zk-80pUV^U7=;id~b+y}a7xa}$UQ)fpU1;Cia+k*&xYYpk-jU=5cctis#F1gEY zTP}HyDgz=%p)wD??(p>4@HADj$_rqt}O+Okt)#qan~I zAjlXT)juwILqh6vF^M09#@K@5YzYO{E<-HKwpuSfjXfS5?_IIqXh2DgecHD=?8p?L zX@aPC8F#VUL=$(vgzELiU6vzbYbP2Tv40yTxC_4lZo}s{1#EVC>6ylryO`unXd|SQ z*m{qsA36QqUPB*j-DV6zax-U4KXKyZ6Hh*K_Uy%)+5>e5>JA;MJy5fAfAvmu$f-SW zsCIu-rHx``9?{!E?n3o)^tyAGtOl9nm2y{xURqB9a~DIZccrRVbQ+r61{rseYG}@C zkaE`xdZm(ArgyP?Sc+b5HE`9-C9k{1OD?a}XMo#41vZa*m!r2i?sAtGDPD}*qF3rO zNL}9MxO-dlqO^t~^LKf+0nnu(HG18H4LrpQvCH)?i<7xl4pWv_7AWJYm!sDUcgbzw zl9#wkk{5`@AmZ{P9wF{ZOKTjiKXC4H#JXPxH}3lSX??W;{w94`kRc55kibxlDLf-I zW_EPqrRc<0Lt<|jV}-~JYiSSj=o!|%$8694-v0V~wok8HEp}{MX=RHS@Sz{w8Q9u1 z(2q6W1$r@{Nxw{khTCwLr6t7u;<0rmmMAmsVp?sE_djy}>ZQg*7n~CoIg5Ka6N`k_ zy&OF&KkGH@7hQX0p%Lfl0t*PE~VBgMzHG69I)m861 zR8xD4yJYmbqnDezJkP-026v;E(Cd-A9KG(@26B0Mb&XW-ouZeFUg%v6a94(2?lZV^ z7d1#Oc|B$uXc>jf;`JzbJ)&2dCgasLLNAWo=7EfJ3Q{ikl0TGqHTs&_S7~F14lQk*lfS_l;f{&+CI8wX|OS+ zi;wxBEdCQWQe)hO^c1UJB6tbCt~%MB-g@CKeiTaw<3G_*7P%|-<$=n!U%TOW{cYj# z=Y^HKg?{6msqLI`ZQwc7_rL%3VIv-G+kR2UPTdwSoqOcyp@$!N^3g}1J9hN!-d)xE zt9Qd{sM&|jF3~HJHHsA15wjjS9KG&71J5(uk;_Y&GO`-(l)IpB%h3zH+nnU(1vZc9 z^`7PBsuz;?uDL4-J_K-W8WWs=v0U3SZ> zYhStK<*N6NTwZs*+p1Mlvz+F*OOh9qWtvx_dO3HodV*H10VkX>1QmYwhYVfWMUY(? zgm<+8CcQDls1Jg}8(`2Ff;$FB&JKyW92E18f3!svYm3gal=ZEjxzv344Eik!?_IIo zv|6x#B^j&uywCCktSb%^yX+%BP8fUPHn2vGcZys7{N}mKn9HauQl4!+!NfMpvay<; z&0@8Fbk+8|m+c2GIHoLjmiBQ5gskLNE6jWU(@- z-j%9eckaS-AgjUM=;i2@>0O!Oz-w%jDRbv8m%Oq9n-}zYu^R3))gYC;QsK+>E?+t! z6}~d=a`bxSu5=9rS-gZ^qOVNy0=hJ~-sLW@RP~Bxg9o>vB`z;@Y;bpZy`WcWI8dyN ztOk$V<>;kUgEZR!=z8ZaNQ~7JvQ=xcPuWD89}ar)ex-_5`o`Tah0;%}_m40dqVxun zRkTqqJCqD`BWKg(7XC$C3RuM9CUKdbH(rI4n0CEU&+9d+cY9 zO?A$pQ=D0y9BKKs-UDov<31fQ?C0&ftk2BvJ9O9(WW^qO=+Vm$K6(1ggZmF2-Hq68 z&F-37tRKF$Y*@-&DSE+Oso3S`Jk`LKtu+%UHOzj$RVJ zJX7WcyVIh5wQt0BG;=KM^Ly&)Dus$-t=&v+J`54+O16S$8o-;)LTN_>P z8)pyCzS(2w&G~CAXCAV@^s3{7Ptb;jwc2IY0t=3zGZ>pl699Rl-+-=U>|wE4m<=qv zQ{3|BH_u)CN_!(~N5;6zvTKaH_+4le;jm%vAB)Xy`QQ`VA6^koKjvJpxv}>sXJ(0z zU2N;r^V)#>Umra3nYNu*b?DG-#tm&p_jNTrLVioiyE60y6)MAmZ;w5xXY~uoRAboqyeiZ3^{9Hq;@YjXYrMe5Rc~{WmkbAY?n3p#Z4kp`Ok++F zTDv>+Hm7$zW*eGw8?cN5J_8L{yfnO94InNJNL~z-)?hW5P!ZB-fE*4RPMRMYckzC4 z9r)YF1(96=d==LUR}3E&Vxpp=gM-5Y!UBxxex^zv<8Ghe=l!BS^ozA)Q;GCad++s0d~x1V44@$W1MCEaDiGD@xpxzx+gFX_AZAvy^HLa zSj)o;fZxEZ1{R~hat(CK!Kz^(*lI_>*!j1AIiL8su%%8II?0~Z;d)ZeCuQAl^cwPU zw_eY;ZM!x1Ug9pPUXr{xvQU{TR>rx@jb0gg0bLqWy({&4x$2eaT}WP;>Xo?-(gGVY zWfUu$II(H%8VO$tHgN9B+y+tcHXXck*SqB9UavIO0PgZ3MX&qXH7R$c^d;_kM6cB4 zm8xEMw}B@dT8LhR%edR%F;*rmuyOQ~*p&s!qSLB`(N*CN^U1~(z6}Mj>cTrb^eSz4R zSW5$YS%M5xWIy{0ApTKsn61wLek}ayRr}dT9Shdm`c&5EcKAH6tf5`k>*YOP?^yn1 zO4^FzlCBdcjo7kd?YRfeKK%G&=gwX_a^PegRIk_*67-b;7|5GfST{tJ`==X?yWD8jC)TUq0Zo$Y!H6K6Yi?H8W;x&4jzt)Jes)i($> z;8m=ZG4A5?Jxdjc2xQ!4CIgr!au*-_8HZuN-lf|0x=xt=<|g#QW_GanFndAp9Z>KS zjc2C0@h9SXz2mL_*najqTkT2vjOF%j_t`Sq)u$JH-md&wryg(R6kkkC9ami1dBUWT z`|7qn@ZjmomoJ?=d-3RzV`$LJBMltA1YJ53ccly0q>e9_ykc?fR=WnW8d}0#j$T?b zOh+<$n{yku>XmX=R$cRgUXR?Bsa{&a#=9|C3F-=mbfxFEPbfG)%?Oa@%BsHn)Os1#F3 ziP|t#Z9Jwj{nj`5Bj0FSV5XzEn`3yDW5X`t;irZF`-lC*YnFN}2F8bYa1AvyR@ZWI zmzA~?`3B1*TG#ex=X(o!W)PeD|)Qb=ClfpSVbqci(uI{tY7~0 zy6x|8+Ma&izUz=MX0A}$%N&JyZMh5jlGT8t$Lg9GZD`6i zNYU%gT^PM2c5$Rs1EA}LyIz)2@B*8(y2cF$S#^!0moK2;+$G6-M|zhQu0iiYv;n~Q z)Qr*^=Pnq70qVG;=!fu#6t{E{aKwiJjfO$jXfh#r7Zl_l9HcM>m1;~={eli^B7Uun zc~=$NpiXi|=Gl4;wJuuaIDJw0!=Ih+eCW7VkJV`wJ8D*N62zW2jJx9JeB5RB0;{sI zdYd?K%NWdr=hwwu$X%o;;PQ&x6|)Uus=?}LG+PC;#fCw(HnYuk6sySLEGBMlx)YwmS5k89T`&g;=?Uz%va(JL*md0#oq^)5#*>0PdRIeMG(8Mvn_9Yy8lsu||mgZAft>3r+`#?NjDHyhyWTI@oD;IuHFG9fFjl>lw=>7H%3 z!>G%I?ibfH+`ZcM<}-+^)!0fk(QOc84NPaVg&VM!@oKPIn5|QWBo^al4Hn^pE5ff| zvK@NBGIxWuVw3}m*rIZr1zj84^uC%}@)J$ON`z7cdJ3>^2*RF3zSKPuRD61le`|$%dLhx zMX$8FM(71}X^`6>vl@8223Wk@<)suaf(^{z9qeJFcd{C&z$R6_GOK|sUTJekBCb1k zJyzFvoR=hTbEP$oUa8*ws?f{zu6v-&ox67-c|lnSTpFZzaYRE%>FNm=d;S+xFWw77 zDBg;~7XZb?=D)N{gi=H+G8{C)SjJo>7_0PCs{MS_J_0r`Hq5x&Nq%7~w-W8c;!-0)99TXSdI3SrDt>yCZar++N`{f)*?KW{YKoMx*7 z%^n?2v$N64WF_M(qa0JXP_}FWy6h4B54YkMal&AD*Q5D71OJiu@if2i2>ZQk&=+wJ zuj{5+c;j8mGrzf6ebzEp}RyDeBW^Vr$L z4?XeVr3;tN9658e=1?61y?b`;t=?S?&tZSDy_k7 z;D$qUsRo(k1$|}cg~dzU<@9ASUQaF2r7o}gGKva%1#U6m?}EW7t)Wqa zboB(G7ay(}u)gyHuU0nrOUCQRHfU<4N{Ou}6>OrXf?BE8s8m`N=*sq_^i%jK6+Y-K z1|IuqRDS*%rADXp^Y`^r`)ZY{P@R8NuqiAm$QT`@3o>egbpDAd<9&WXJ5>?S=@Q=Z zZ*^0fXba19WOo#XS2;KCaz6Z&^Oe_~AAQzXZ);@TZ?NPSW&(3{AtApAQ+M`p2!6Sf z7I3%4zrcyq@yFM!um0Qe)C=~#ryP?OTU+-qhh|%KDGkPqPeL;PW=eV>AY@UXwpX8i zeOIktR(GW4)aeuF&!0PY{`~P1C!lg6UH9(ZQ(IkIhn~GyQru+k?%jh{l57C9J9mjw z6uP42uwxgbFO$5WF=C`>^2!Qq(q+ToE}^$2+~v!LIdo;KC!~8%0J`57?t-#X?sDkj1U5CcL4$%-V?SU& zY%PI%>;yOB^o8oh))DMcdc!J}QVSzjr#1QOf&+A+djBv3y51N~p@F&(lUk+MWax~8 z)S(+ykv~$${7V;q-Ox%1$q;fn34KNhi#9k=9wv%_JrIzIkfc!ec;9b4-fhEKj;-2FyOenUu>B`o`Dc=}6WtiBF$`WX$ z+{GiXd#OPVU$q8|5r)2ldbc^mN^#52VbNmKN$6VWST$s?iosVb8u|dqIAaV}vVD8R z{eGC_g*?`ow1$WPQ%qoBOt3M;6rc^jh7x*ZSb!$Y-!MoQx<(uIusZHdO@OJIXnGjdTAQ=Z}9Q{NpX*(;EUdlR$*Qg6Tjjb7m^s1wQZkTfRE(!f0S?DzG(% zP59_D;pI0RkNn88d0+kL8TG|I%m^xnWunsdQF!{xfr*d$hi(qh_U+Q8V8*=hTlZ`@ ze)h=4%MUzo;h{4pE*`8qwy%2sUbwy352zXqboSK(weS;mGG77K0~-*)D75X~N!~8A zdvA@MJGOJ}3zL_%=Jmo|BCeFXP`)y&!DFV3OI}%N&E0C?r8TL`%d2ZJdI`EDdBI%_ zcfHHGD@8B)3?9)dOOtVf{8SnwzyMbyHpI8Y-W_{?O#Wh%9`+TsCorHZzH61r zKhR)`2nmi34UP;pnfwEkKK_0Roi0lobiX!Yqc-L-b=<3dvDehGjlqeHxosQ!+}}8F zb>qSF&L928`H#1pA72w1Y;5(J6?^q!?=pu2oy|r2_O3B{$KUuBaTk9q5Fx?zq^b1xH~~#24EsE^LBUd z-m!BRtF%G;;=ditcG&Im9B#v1lDr(fx4Z^V=;cQ5Z6)trqZj@zS-c#*+-GpN8azr~ za(Q9#dgLytUMYILq#C?%muhV8l9zLr8xBA(ByV%vo4*JWO23Tp!pAfUO3TIS>Fadk_vV)UKaWhvtsKfgzE>Az{I$Ad}AMuZq=Z^VNYP z)KOd236HB2UsEMs^NY2Gr8u)o8~fbXIBRKR?a9WU{I>D+cN?$XL_2d(7R~}%n_~+# zOq?)-7wG-Q##hDNM%JnfTMxsewmGa8Tf_DG26WB&>l@BTek5$HwvU)%$?a%~$`eAf z?56b30yEwW&-rC|`mu{He^ip+=t6q7S8gEs`(Mz2n`NB0om$(a;SC%Ft`Zi}Z z!0Uy-ivj4;;OKSdE~#4rt&F>T)f%OE!80Zb#X%UpE#bbgClGNxzAFADe&Wk~1He_| zhjup5zP>&hKNQQ9TBd-R?F-e*)GwebcDwNpFzSs+iiKdeo*--_0f#{qsa1DY>1X(b z*80W%#4qU$Wy)vjWSb`5k=@48ztS;xm9X!a^XZ>CUwfJ0{6Xhb9x;ObiKXmD-= zeIb0&aRy)c#>o5Can~j^n(a=rorU4d)*B6$>kU@RC!ah2@>=8NryXl{)DIjBuh)W2 zFhg?eVfp5SwpSBMUkOV-91%G-JH6+?{{0s&oVK@i>-h&yKm0hBv^{Y4)ETx3W_8W> z-B7T*L}Qm_%#b#N_GQTiHYnS$ofX@}o{=D~m?0Ct=Hf0{4TN4!UtU_{(3QFkE#WRl zZ%eEODSDerHORQ@j$TUfO3}-?3%3EoJ=GvX@7=k)9Kh~Y19ux_==G9nxFeSr+$HpK z?n>$F1-+cR9KE;YE~Ofzy&7ZymU0({1DCv9_0r;DspD}0`o&j3 zXFs)8t2ba(L}-vHoaM#*4Mt6fUX!iUR;cuId;^d9guS4MeOKA4-k9Nt&KJ=3rqBJh z1?y~eXY5b?%<=N;PIQvN(l2p61goUkpbu@>I}IPwF=04hYl&|Tyk8x6S>FaMamN0` zcq_IBtM#VcVZrWj|3UYLSL_!aZ&f! zXXOm<+pl8U%*u^hmLEK@_rmED4_|!X!3Q2XbM9j8k)yk6YFU&4E(7@tJ9oo#Aj3h# zttfPNz-SOzj2weFMC>wu*9&*0ogq1Z$#7^6z3>?@09`NKm0AtbWy8%`ydGU%a2I3d z%v*b3N`t&4dBI&NdRd&Ts%oM*WNt%q%h#Hdyxiy|=;BB=uQbj}Rs%<`G}s`u8r!#{jl3u*qLx3`l2`*bG*ifQ7+8F9xeiG46uFEWG>8SPfqhcaeKz9U`4( zmdwC{5A63232!%}M=y5feE%ccA6~XT@RVg;wPnO?OWT3w_)>Flt}QIj5#QPxU-W)> z+B2c?TjE=dEyyqL-M!2F=@aXAZh7GJsYf4q?D8XzA3uMw?&vW%y~vTV#27200l&Lp zL9k@Qo;~0(I15Js6LJ@MGRisJmb=_-aHsEAh~5@*m!nte^2)d?b$LnkQmR2pUyfdP z?ly;Bk1j8`D?=~mt}IZ-xyvOlHypU?mASm&?p>gls%u>MdJHxIx-?{&vfHAUWq3WM zcuDd$$6aZeO;%l#s$PO_3(*UW3$csAxr;~xR;@`_Pkbv7z0+Un9lH2wC<#K(LhUM* z3N~RkVBv(Qg4qHJAQxR7bvm7YfX?5b)56L%1R%GdP4PE&4hS6=5V=Jc^MoemRb|vC zsz{qY%9)(yY~Qu<{)xh(&9=jrEx-6b>p%Zvzw)`G9>E1xJ7ba-jdRV`MvIm8_yV>t zuo_r29B}`8$6dT1CtLG@4d3x@75W9FO72Gu9F zxfz&Y3D0syW|>1$-!UZoS`~RhYg(8P-lKPU$5~Zl*RNh$UA^n@u|ucMp1SbB`3Ejt zICJ*Y!9xcS>SZ;wt=j-#NM7dkV%>xoD#OFDA6!x1TmG&W$=e)yo8vA8%1H7`Rj<@) zpf)*>yrg=i+~wH@cdLP~U?c9fq`*d!m!p?k4J3KpUEb!n>n?eDvm9DO;W5rDb$Q*< z>&4~eWj4Nc&AaNACd+P%UTRVX^tOb%@Oo((1*u++Ug%vK+-D%KmwX12yi(!YoXhJG zy;8%0BrlG#Wfa6+LNCc*l-4|MFMOvg{05#c)w0c<)62jrG(R6?6T>CneqsZnEvg&1y7XQ=!Kt4zyPp{LYQzf{Kj(=YC-U#!)X(3qI+?A%qD zFxjzjr~Sf{)?dGDdFw;_XZ0*$#h}XyXRMhG)=F3$s6>fNaYfEz6)^jtj&2OHbrWBk z`2FhiuE<@BleO<*e>JeA8JgE_Yj8N~9gYU{a=7xD^Toe8FFh-4J7}GNN4_x)0ZERi3};?jXODi4$un)+_gWu%()!Zht?z$gyJ2DN zyhI=Yu&luXXlun}oDx_1HgT7=)D@QlfA93J7~f@^0HK!zYp25uY?vol5MpkG4t81_ zEcW+4a=i3+;hA4Jj-0nGT4xzBs-dvc&4`S8eJis*?M6`Um7u&g^y$A+M4wWqW`{;~ zYF(N+bV&J}nG@EpTY+8S4;`*Me&X=C3#TqUc>eswGsjOH-M_yU#ND=a2kL8>qQSdm z>$c6?wz2hUQlEjiD>Zs$YbeNSz_GdN+L|@DwxZyAmn1J`d8LwVOICvvz0DcDBzcLu zIFjn+E-wrRsnJW4mx8=p^@=7hYf^?GEwFL)a+jB<8aRDrR)b9S%B%+NHt?3{?nbW^ zy)y1X`10x+po>8mhSfk8FH*cbO(w(Gcj2y#yY4SZ`UIB9W$G5#RRXqJe{Fz4XE18@ zdX-M+qt+-?Dg{XFr;1kj=V=3nY9cnPq968+_`M?JO-1mhiZF{`v=E))EGlapc)xS* za$EJWhNpgB|CiSrKKPim)MeoX*T5NZ*T#B%G2z?vHL>LZBrgE%dhXYL`xWV3ykIm& zLru_vs#?>Z4rZN*ZG51B@%P1ScbKo&TR-^N@%q1oU;WW}`jW6}tF?04^|HR7wJQBQ zFuUGVU=1v62+F@=%=n8o_MCtCn$XC}3GoB-vb%KeR)IxUiz;ck(ouJJr7RM}Sqxae}M+i=nSFLjmqBTPGo|hhU4)R9b(H-l#JL>H>pwMx$D% zQ>oN}zN%y&O-EnDaCOKW|ES&manETJ{;7<<>Jw)14RZu03dsdR$6mtdNy5raj-wYW zKl)Am-`=@-<$8nJ%r*vQU2HH!Y0H$LsCThh58JPYce)YvFde>j-nxFlSI1p=C}um` z`G@Is*eR@1h(aNoh$uT?slaEkTN=!^tDg(+{6~1{75n2qvFtcnKXF-o$6@B^QmZc8 zs!B8K(r*|uKMKrxBPin!rlhBpA$1Dh>CsW$idz@=8_>OK^4O&-7Hr+Ie&7C`hmO>q zIC<>MnX_k3pFeft+|eT^57Zq(KS?Bh;WhxeT;sZ*h+$sVEC>EBjh5(LckZqbgAL1< zvyKf?y({aK1LD%~o+jfKFSvUPy)4wwlxmRq47cSjNAHC3w^mZPa~CTqh`a9S6^m=P z`sGlnf%^<(@$%(s+-mU1T^V}0*!9lcZrxZ@UYXt{$?HY$evRC9^=in;W?9~*k(GT* z^=5ow?@Ou0OZ4?#UE}lxZ{y;b*yZw9Ts^_I7nY{U+}ZZ+KH`PD^d;byISCqM6fksZ zjTRX(H9J7#`UU6|nEo1tMx{_`lT^l@%Fy}B=!5FGXEg~gYvSHlMqXEhSQH^Pp9p(m zfxUd7ebPevt|PXGe{B8ZE7rIEYrT5iY8HDXiV7CAB>~8K+Oq5d%jvR%*zysQkacDd zC&=rf;@5xsm2sEtcM0yY4ioGT3sB1}2S{I};xO^Y2k3?H#XSh(n+=XDpIQI@Z_Cp! z*!G=q%vm{fO&uH7uUkhG%huSM;WcXkTpFuaGqXW#)6gV%x!mR4ZE8=( zt7~FY-o?#>cRpJ)Ja-;JSM(XMa+ob1o{c$s_AE>ncVRWi&@1{3Q%Ukpo-&15ysSsr zq$(EWt(q{AN#5~IbNsmRAC?5l z#MZoh*b25jz1bo*DSEly?cRf}UF+uRPzLUH>&npEr3)t8^^yj+8aj4jEzvuORzrJk z@wUIU1(0Ypu)Qzav|&bXX{pq0Ae({eYussYuVYg`~ zpeFF%%9MR!DTSzax!^^4O`J(MGTg>7@?xzpp*K1@IwUm2V9-k~UcihEg@WeS0bTrb zKH0BnZm?It2IMSLykhc}Nn3H58H!>o5~c=UkxJmcP3Yym!`Dw;@BMf0 z?DxY`5c>n;?+Z&b&>tK6OX4ydSf^grz0%1#mzk~hPp;Yj`Htf^FFGH5ym9w_=gfun z-a~EKZS8?+fA$)z1Sw;4LT-_)rS zmMosXZq4#-o7YzF*?Q^3?HTCk`Jzf~9ZRLUZ>XbZ*$Z zW!r{LTh?vZw07Nwb!*qJXE*CNtlzkP-9|um-I_J)Rem zXz_xDixwmR3nmuRc?AbGCVKT`} z!$n`nT{J_VHg(GMsZ*v+nKBjJoit_gq$!iCrc_l;u9`ThYC_e-@l_MXO~f2OcEb3v zXXU8Mk)tX{RF1rVT z>)xYVH*|*VE)us}w=S67aTIkg(YI;aVOCe`)Tskz$4>1#c5L6FV_65Z*T-znCQCJx zwQF0}woSV>n58&2(O2YeNoi^8lG5VVB}J`UV-_~e;)3F$f}+CwqJsRwg8YK~Jk0#O zT+F;&nmM_7IXQXR*?C#nxmnpcS&+M#;wlPJ>!xRNsE0h6Dzhd4dy3Fv++&Zg(w`Z5TMrnpK!HvQ1<7LzOl*UxQwD!viV z^Yg{T6a3gIVhVo1tQNsrByIytfr#D)1e)|lqanax(is9Zh9H%}Yoh<6j($rS^^p%`Zn#Ymyf5?YuSoCS=}W*H zh1R#&gzGnj|9))NvJ>PiXq;ttS=ZHzpPW_xYWzM)fXIPdqG}jqXWDm`~5s>u0 zF8W1%_`?Cgd-Or`0>ef{#q>`}>C(EWtap#Hv6a0R&Y!Sl)5?Q2`_CLZd+yZvv)DTR z)ajEaPQU{`eE8sjgSEBjwo|)v&%SND_H5m;Ys)s)u@@fjb`;{aY}>qP3)8+}Er`2z z?dEmswrp6xdEe;%Qiad-Y4Xx{}4y>sTxo;`>V?H+~~1mM~UdI1bs(I z=jc&DFXL|I$l)VL0KJU7lxpDIrITCe9Wqoj9EJ>H&~4%_JcmKB8wRp;gNwWUiM### z^aFSM_3qoJSKnS0eR}omg;~+l#oeAgyY=WH>RrZNHoJ81F3wxvZNe^FIV^Iwv&h{} zB6mBA+?Al0aks1uW+{#$dZB$8e<6Kc+$||8aZS*-xVTV6ubAh}XXr(&fh8MqX#&4F zIr-Vyn0eV*IoXW6nOPZ44nq@nGt<+=nVy+0qBk`?Ed|_V==H>1K$lIBI3=l7N@8-W z_#~0Lv5dQk39ay7d|YHyL|{-LQmvw*#6mA-ld>!TL?u&}m<+(2!Hmr!O~qRhp+3F} zOi>@RM^W2iE5=xbi%s!~z%h{(Kg!n!-EmoiTr^N%i7#U~U3hHo&F47j2VlHZD>pj>xc80KGi{to3$4`Fk zc=Zj(f366hT^Ab6PAk@(LC2EVYXa__lIa_I{c1>XX2ve+MVN7#RDkF8s8QVTVFF z&lGT9YEs9xrGgW5YSmLd;W^0OV_PgxpDpKEt}Tu*uH7+-tBb<_8vL9|K!QT zr_aC=K5^#siBl(zpFDQ__>p5r4j!&Uvvsi(1nb7Lb=TI-JGX4wv3cXRO&hjs*syW! z`gN<V*3IA#K9%eXsXqBthN?j6TG2hngq zu59e+v12fS;L6dJBP&Oa7&+p8v9dN2MRALpqV)FmXVr}D+*fiX-wJr zVG#qmkhAH7#tCSHtM)}N1+`A44bTJ_{Ea3H@E)mPI3J$a+j%l3}$j$?84O>!oNRoy!dzfGrzK*e8|4J#xY~5 zFldakT}5L?o5sj&L7(o>r`dut%wg$Q!_(dlP5w(@!t=(MONQ|MhS0Uf(3wFIqr;;I zMMYO+rgtmvR6b}x*O9~fP8>gc&g`lcE9Pz4vZi|9_QQv3PnvzDt)ZV(4AG zV8Nn!^A~}*bLK6WJ#YSO02l58b9kArJ9pMB%sDe>V$Pm{Ib#+Pclz|1;+!#U`iyDQ zW-#JTW$2v->P~^((Bw5thSwl!-icEtOqe`=d=+3j4oVmQgWpg!VbY|DRaM|G1K?NM^}!i965UAh*2Y;ct?x`f=5=47%}n|dI4MpUB=yEtiUFUU6H$khYT1r zr2oJn{RRx_kJ*3lfPRAp^c~Q@4{;aG?nQT@Z?C?6pmkw0z-Z{#i!^UVZzg+tU{+Kx zr$ICux)OkUbnnJ|hpt_lpj!^;irfWyJ9p{~(aZiL`m#V7Lob6bn?NrNhjwLUZQB98 z?Jz~?wrO2j3d%CpGK&G+C8GhvEiEW2!OSmgomWttn_q;PlUInDom=3V`PoprqS3%K zZx&`=c4l5yCZjJLhbGHGBybk!n*om@Jvjvv&~Xbc#lYZA0Cz#*R!OZ|;cM6=H!vtzqt!v@GO#lCGWE)~WB^Esr7qPovps-LAoai96ztKs-v~4G?l7I zsV-OP2B`HTw8kn;&?3L^?aJt*y10i;i7$jCy%v=CQ9w+CD%7DgIgFt~Lb6cYR_NJZ zxPQE3&N6$=5yxZC2rv9zc=;c~dmpe*%Qn=F*zUVDL0nk2Y!eA2SRLYKX?IG*Z|3>m z5_j<-fYlb+hXVGg0NyYbN8d2pKKb1C&WHAwU$_701^bChj&-|)Nei9*$2eP8*rE#? z{L{^W8TO!bYfySUnwlBYJ}{=fWlVlG0BhZ%A6JB&Q26guC|3C>r^m(g%E^o?E{bkn zmeaFGyFr6`R#pzFsv13W=H&VFXDwMWZ{>((sUv|;I%&C9lLTfJ-N#_GM>YHM~K ztgAkJsOHF_{UGt7{j~>bYU}pZ*46BqJ0TSJ%O_5Ss+Et>9QcV5;Kn zWJNsIB?1wOO`G6TfXl1azyw~poY@h~jaUXDym-kXSiK7tipH+!=gtFsXV06?zl0SBy~rR9X%SGUoz9Ta@44im26g4ib)5Gm_Y#FKm7jT_YJ>~ zO-A3L!-fqRI&?7R5FCdL9y)OFu>M1a_8&ZSz`&scF$WAD*nbd{y#2^(=t~AezrMY} zV5nb6-rj6BmDx~e>)orOS4EGCp3La&*`s??o)@Jykhfcxu3gJfTKmE*$KB4D<@j4$ z-m!CMXkStIcIeolea8-E9ow_X;7d!`D9;ObSJbPsamj5+hqarUl1?)%1&#xqi(W5`UMOF% zmC-j5vKIbATr`$S#KlI($HgYb$KxybItpyjk%L_SKROt+QjX|$7>iyC6z$8wiKEP-UFd6khfq~)J z6g@H|Dl#ZCEHEM@5PQ8v7=t1VArbnpNPSpDKv=kcNQf@jq&4X^Mt}S;{8T?(fI{Q1 zQ0o+Gt*=TGtkR~cb!}Av{glRu%AjSc@IC6tliKKqHF3}TCH)l+B$c)j+s*^3Uz-THjBKQCRU%lb@*L#lN{n_!zbB=@OgpD=A z%oWxFqi?qB-H=yqPb?LJa|LaNpiXz_(rkvbdSl8JL#sFS2`}nno;O53W(+%{58vY- zzS=)*nLc!(DRg>B_?XD(Aqh#n(lg5oi`a+Jie8RL_Z3eP+zOf6?M;t5z-C zuwnVOZEJS#+E~43OZD!pdv|WzvmJ9s_0C=UcJHm(i$1`$`}ggy-m`Dl&OJM@LJn~W z9QN$o19gmv6ZRO^&7nmER&Q+Hx@qGklVSe9WbqspcbGYICIm05tWBSSId$6P zDVS3yPn|LeRxgwx$ z1Az&;qTMiJ`0)Dy-C=<4efKfw4jVRjDCW>XYz`SbY|xNl1BMP8FhsO@iM!-B0K5JB z_hbGp>;}eL@*7yNp>J>Du`VQzS0q zE+jCx+qq+B23^r=fY(sgv8)|thq89%#E`m{TB+lai9+;#d##prAm60g%2@V*#z! z4^ykb{x?|Tg?yGuqgEpuAWmpj7S_Ug1WkZOqsN45MNP|S0#JhkO(B6MNL9=b=D`Mr z7y?7|reHRW(67M;BaGR|knq@u@YwLs=-}WeV^E|nFhUg=<{K2I2o6;Qhxh~st6??- z>O+mXNWC^it7#2I>#M2o)eiLYAE`1_sZFyrfy>mv8&x6I%CHlDk&h~4exZVW8~?g0 z@xMN;t}7BPidefM%Atw2N2S=aiXEN0+lP*@O`m05yTNkssO^!b954Jqc=eyc`yV?# zZ$NH}buDM)U=#EZkfyP8>UG@`SO| zCQq0-ebSs+Q|8W@I(ydS>C>xb%&3|*t7^{N$@3RXU9@ES(&e+3ubjJb^}^L_7O!5r zboH8Lt5z>twQ9NOI)J(w4_*^MWw9^ z*(@$BEh=mc>J}Fk6c-dA#ZZ)=UznFyfKr;MbeY(_C3V?(P8OWp>@+sB(lby{gNw^1 z)43U9fh|1))ikDc)5Hm%fyEghdl7A5EY1{XR$6+~Oij@sEy3^fIXz={Y8 zV*dpP2ZcZ%hX%8W5gHN_5gLr|PKXMMj|z;7GQ~z2V~tupmvaNgZfZ z84W6fL8UjK^rbQcs`VyifYI0ApwQ_RT2aetbPBi~TAfj=i_+*)HM%^Fu0-u$p)^$a znwIzmZ%~Hr(L@~gk9tra^CNxiul-|R(!~5t8U3bj^t-;%ANfXK^^Lx+h%zf89g1*4 z5pLJSSz>eQ+jP4*baefK<(9qs9hV;#e)%iMU;ie&^{(@yPaM~7*lt=_r*bPgH?mC@ z>2T_ytZxgJ9a84?@~}+p53`q zYM1h~9^JEg_s;J>pk(NM9Y$1k8$GVi_^QEGQ$|dlHhS{(aZ_eYm@=bk+RSOQXV0HM zZ^=Tqy8z{ir7OYXwaZtnTd{K8iWO^DE?={1+3Hox5R6#43UdX7@#@tGtAW)R2(3XT z!!2gSUAYVawZ+SpP^}K0F-{gPS~7p$()n|j%$vJt?%V~eDmP~?3qs7AGYbas^f@!8 z&6z%R&h#m4PMb1&%9I(RBAzyB@>D9nRZW^au?ln2go%^JPpBFPGZ;QFif{-%j6>>S zG?HeOG@*UP2@%W&vm-`VjzIij)X4isjU3LxXCv4Y{RqOf2y4V0L@HCjjD;Kq4(vBz zU_StvP1wKvS-h+_vSp~XK?C<@(cWIjnDwma-J@sk9z8HCnCrjTX4dn=ye5UxH1>Y#0>zP|Lu!YvvZ_V&>)N zhx5Fij!hrrly?K0=ik z>5~wth>uXjMJQs!6w$$o$Uwhvqkl+1aFBnLQJ1LKrTXhKb-G-&w!}}}(NEJ&sqLlm zAE*wvUu77hGEP#NW~fZ_lz~f>L93M^n^a*t)DgQh|KHwuhc|I-{a==LS6!BSk=5lQ z7i?pUd+%V1X{H7U9UMvm>6hFjqywQtLP$bFAap_?^bVnf5E3ASYHU*tp}I-CGxMHV znFMa$-+g}h=S`mXy?Q>ZN2`^PW_P}O=A1J#A+uS-$Bc0WYy6rs?Npe)Q<+bz&9!Rt zO@-+`6V@y-xFrTKmjsCkNh~NBR-8=2Y1u+ynY%}yrr}i&UV8QZ%=rypu4&l`xeBN8 zmFxKKeOPK8logCK7Y{QyV$fX|(1OG%4`H@hH>?Q^_Aku`qw#tVRDS=SnoGacU!=S6 zKht~$is+W1J{dZ5FW9}*?LfN+)LjlwTX>Q#M^<}B zUeHFIQ`*n6mS1FHr=`KiWxjhQnvD`}xx{OsL_(d!63KXpWVl2!fMI)R)E)i&3PVD2 zOr{KrwY|mav{(XcR!z7=9~I>n8yl367?zZ5OG%4L%WRXGos^y1K07ZhCoerGF9W*8 zh0tv-fgUwDH#>Hz=-91$dH0^3dQ^7qQQ5UeWkrw5ZasTZdiCm7*{l12ew9O?>_1}Y zz!Ad+jTkm~#PGqxMTQO=Ow$uU8HWxUGz{WpPY#Bhg%QKXRE>eGvx(!!Ju_kK(-W#D zj2|<8{OIxHMvogedhFQI;D>3lpeHV&M|efm?$?$hc<1{=E%Jiy5I zqzpsWFsL?t^z2#LqlXC1oT#X%=vvX0B0fOCLBiodeHi87!2rRgYF%6m4&q|4tHGV& z5%Q9hAP=44Ra2ay-khs7k~G_g#*9;s=WVpc_3a!LxA+-;L- zwpx7K#JI$S*u?ml#5U21aU${22?@~&RBdBvo-kEoNR6;XMi?FT5UV-B6zXdT@(u~m z1p6xk{pJ3C9CY^ezMQu&tAnIiJ*)9%)jDdeYBY>SEd$glsY*nvlK7}4zG}MFi|CY6 z2$!k35EbiCvI%lFo#P5wMLC`JOH;|{hRXC47~dD=0k5for)dofbjHtg=9N0jMy+*+ z#&$qs|6b!br42u)i@czVIR#S$a&vJ=jU zRPjP!vOA^3-LtM7yH$-<1;{IZqq?YrH_PPot4xUb&i@7(7bTR>I;)B*(MDip@gSB;*_2 zYt4AB}VZq zqZlufk7ndUS?&pr>nrDa%GqvmwyT`&AeUz;m5EwyoR4ozKu~08nB7DZgDiHtIox52 z3=eZggvLY$$3+DsMEj>DTMP5z%ZiiBic>n2q;)9Gfc&@)rP*brIVEMe#btTLWd+3@ zib^_`lyodD?^52kPtRdb4j3_X!0@5{hYjsFY-r!1LnuRr^nG$jzrj!T2h|%i7_@KT zpeF|o88&$IQ^Uqi7%^_bNZ3-wj~qL036V$QMr~iQ714R1w@7=#&?|%JyQzu8?N{Tpeqfeinefsq9(7Si{%1U~75~=9f z6X@Eb2e_8IbnDi+qM}pRt`NWjv$?cm$CC1nrCmCA?AoOh7}lL&XXnz6ok}{Cmvra| zeq``+l#2Ni5Wp)cEG{f4E+{CAm%(Rq@R#IspqkVctyVUfgl+?ubDe=ke;@Y*1NlI`g zwuy|7bF_)IwTZU0ahg5EIZd(7u$U-gbhycBH%3`Q!!7=Hv&tGKHHS)!fgbZz5~Py^ zY9;o(xs`^ZnHaP4JC#uZ@pSSq+M zxC&M%hpD{XLUS`}ZlWvlP?s+K=TTo?oQ6PDSP)s!lJmG2JpJast?>Rux(lM~=4qa@ z$GoNDOQl!LyaU%S7{Q|Siqb6RJwW}^o1MGS&EIVjU`0^qfSkWbzW)ht+lQ8}cfbE} z%M0(ihCRpk7=a3VSM3yaXlPK0p*yR%0Vu{xW63J|dWV&cNzb284l}P$aB)ueF-MpAijJ!my z$X6<})v9!jDp{wF*K3@<*X|Zn&CRkQ_ZeC_- zX-;{0UZ+m^zf+#ysl1?5$Aa?5ft}^$1s#A+g+Ry7MV-17cj;Q%ty@`-9vyo2Ebr9| z=v3LOyb{VlkB+@yfA_K;-OJ#Zif(0Hfr_#&6{TG(O1gF}>e{ss=+dRIQbnXm4Dz{_D zoDLnbJCtRWcgXJ4A*W+mR)?~TveLAYlGNg&l%j%^!u-^N+|<0B)ZDC;oXq6xjCNV+ zZ8OsoGt%QTGTH#?=^ou-QtHY(B*5n&1sH#!_)He0CG5@In0nZg3Y3;~7^ztCWx&|rON z5a0vA*ofd@ZBU>t$X_4m3kh2~f4v&qu0A@(Tf^y88m-(<%>}Di11#yN)R^~c%tzFw<0{k7D)Tv|`J&QNqqNp4?X{YS8gD01r;EI*iMXM1{Hn0u<*fHP zOCx7)rlA!R&l&~Jh!jRa5ynG@Q)=KP23}@#t8K2}=$442rsUkl;x0{V?=(_H02oXb0KTN2bRBtq1>Ih4(MhT?{$9&9Is>R4H}n()s~020Is0@Bncl zNzo*Rm*E%)wZWlqcxwqXm~UzpZrw%ISA^qdgxyE@wOfTxR-l<5)BJ~LU&mu6;UQJH z?@-dE4=(JCQ;SesreIIzgX7)aPPg2_%gln*fTclL8i>7ukXNuE4S^^f=B!v5fpyW? zI}YpHAYCj{J89yCBHRV2!rdx|pt53>87Ymt!q6-axyJ?9vq5!C;CUwS6ccca2{QG_g3K<-T7LU1s1zVlh{xial+scNQF`My*!O{#cTs(M?d zep9BIBGbGo(@d7BUzVv~l&PPSs-BT5$4iw}QpHFw#ZWKBATRjm%iQ$>g0F zMG>ROXXN<|m(MWytSpa{<#MuYxhzw`q^a2UYI%}cnV?a%@$+$-LTz@lG2CJbx0)gV zn=!&>h_Ho5*g_(0!IAdBNP9q}BOod~z!?$fj0}ke!z;!Z8*6G4XHH14B(=4rBsOB5c+Oiz(6^7G(^L3JY(-rrTqz zvr2@5&U~jzcb_3G!^bs0^HSq0Z#7Px-MHY>rX{Oe)^By~Iq3fWC*kLFLd{il^B#KO zLM>uSli16JyaX34RpV-DY;JCBf~CUT5Icjo5A=m$q8DoIin>6}wAP2{uY8!nOJ{iW zWHoq%-XT{*v-{2iq5fA?a~YpLi;tWTb{^(8>=0J2LyMQiwkS!OyEwwudsl{d`n@im)ow(t=ANet7_*JUF;QI z%oT0aWleak+IC4{z9ctPvmqDRzza;k1;+mz<9CMf`-Sm4%?6xggMVa0jmUF3Ve&q1UD9 zb*Xw?d%Z5%$2-|apX}?C?Caak&o9Z}uWf*T+rYrK!9i_9LlTXKM6)T;YDu(N6YRD& z4qKeV9^vqMc&jzhVoeYWaW-p=%^GdBMq8{gW^1g;(gt2~ zSldR}lEQ6C4qH2iE!kmfZ?~t|?Ws1vk!p9O+QL&P5h?bF_K{KTqob2!DD9vS?W8y< z?W3aTs}Ygy!z0=|!YOt~io=l^?#Omfvh0pbn?1v7OSf23O~zECAtfv%B`he#5GWFq z5*nBi9N6ANP(b@u0^0{YMoJJp3`h&`&-eA|px2jsdw0_5I%_puwCW0ts+(E~HQZCJ z?4?%r)+qXF6#cY{{%ZLk1v`?JJ;lhzGP3b9+0#;Hl9YYHi+foj|EHI7ikJFrscx!N zKV9ZCL*_f1_5VQbznJq`#%Nc{RBNT`uNmDY&Uc$UV3#83fFk&)GU%i-=&U-ZS`%_f zYrN#`xS)5Q(?y@tIM1n~&ncYemCp03sEg1~Q%BUPBd$XKP35RpIBs!{TXNg4O4Dt1 z*j<(Jp33|{X>Cwin-o@;!t9nC1$h{f8;CrVaG{tBMQkX~h6+$-Ll7GxFd=U6)WX#c z2WuU?e+&vs!V#Gyu?VM^zof$pr_M*OzYl}E(S(1X5fj15B=i|BbRWoftZXT&Xvrye zrnG%J-7{NP|S32A>t6O4%u9E;MhBWPkBHr%XM(Poz=eUF18z3d#f7v0im)bV4Jyk$mF14o zd`n@zt}tDeLrR9}oZNJpGo9efKd`3nSkocK^etoDCpTr2^x|e>Ymu|L~ zc8-^3j#N8ark%lRr?c8=0Hc{MQ_qkoXUUYaWr~?H#SEEzrc^#tCZ8pf&z4f=cmayp zQUx3{OQxF1P-d{o>74RCPWdjUc$ZbY%P8Js6jK@HG?{X`O!Ypap24VR%G9%^fJ#I; zN2;33Xy>s$^W}c?<^JoIEhVskj3xeC8|a=&?u&s>@Q5xnQgJm}}k zL>T@2-;nuyAoW=&_4!DuUnJ9iEYp7qFg}X`*7q~k_jAs73FrHToU&Bzvy9WPWW852 z-fI~BTE^!qneTeWZzJQsi3!-k1Z`u3cXFY-xv;$)oKWKdrRlKRd`xNhiGzRx+>m|G zvw_u$kQ!y!6{YEh(s)N{XjF!}RiQ{72J^&VT{!qw-5RG$8Re2kxmX|)rc`yY;cnK> zvo^$7!73#Zz(OQOED01OzP!XA61c=mIuuC^2rO54$qPDi;lwW*hr}aO5^rnoj>!<( z<>HJYoYz4pt8iCTx_S?AJu%chbTmJDf-vC)^!#i1pYP$fXQLS(paq`_pRI7MT;KB5 zmXz;70nQsu>Es&c)C**d4T?^x-V6-ZNEp&2Q<2|B~LN6E` zxB&GpfKTatGl4`{70xXt21{;-d%HK4y_7~|cR9CCRhH5Nz&VYk~b|qTP z-`1*x$^{jM-bD*?HG}I1oa|yalj<{Mp@HH;8XqiAN5z@I`W<`=ZglbYT3YTj@xMMm z^>^Te3zsen=PnAT&Imu8M2COCdk^8Qd+@p~_=|OD;g`ak#r%5<_;uFYC{X4om<W(_vM=#7$jzy_WV9>ovA|wY6Slu2&jw zD8p_j4L1~F*OkVrN)xo#I;FV=oOW_^o!oR;VZNfUc(|;vT$YlqtmQtg!UsBtuRkmuS^`gRhj247GFRm%fvS^rv@U$xBlg4E}t)Tdgiza-ONlIm*!8Q^`1 z(N{D2i>&@4r@tWApJ#Pv80{&U<|H81obpni^3t64(w_0so%QlQC-u1?^SvnZy(IIk z0i-@P65S=h3!Vnna)vsEks>$Lu))<#;6*0jA{SJxG{C}swR&5fH~dogz2?U;)hWyX zR2M~Qc?dkU)N+;@3JkO|Lj^)*UZq&CDu3si($b2;d`)5Ua9wT&Zpbb5a_db9CMayT z6!u>gj$f7Gx0MkTRpf1T4r{zG3u%yrG%^NorZ&kfKnrJbGX^j{F%wKLB)HP# z2COh(da-#fD!8uTN)z|MekFwfiZH^35qTJtu^KR9jRk2Pf_D_}7t04H3UGx-W}}2+ zoYooV_QFN|aL2)@augae0arbbCr-wb-$L)qK(iL0g`c3$m!Xww(fUnj>uzD+5&pZM z+$YYs&sMuH*7CJigv-~_^;_uHU3~WeO!`W_1}%J33u6>c z?`Z78clwKTmu8WPBdW#Pf!c!}0o?FJXa_;mUD441B|5?alJ@BGbS^k2VrA3%hWi=V z%CxdY6^3veyp`S_sTjk4I!+tj)7UILXb`|<|LYwDzWdA9adjR3wuDqP;4#=&j67|p-Ct(0sF>cU8JD4gN_QE8B4*c zFo;0G^bjyX3`7z_Bw#ed7Rq7b77Cs+WZ#&G)Iu05VQiSSdqAvRklV4+PLwvHuo5|7 zCXe_)L}!Q?P-M*lOY@r{!w&o>^hh{fA->D=M$Sq&3t=sowa@~6YQqW#QAZGUBvC~G z*n>I(YbZn;L39yV3*~U6b^rqO4diwgXX9Bb82vDwA7dasg^1uQDKI zD3OO?HdtVSc_s)Dm>|?jAZ7wF8;ICI0Vbl7Lq>fduLyK={>_YEgUt7V%onx|Qc9!L zubJZCA`5Uafo{*|u<%iV$jc9`IzbYEq``20i)N_D9<>n(V8;@>0O_qX@hXT=;HrR&#Ec~t*oyChr+^7bAyFqB z`$dt!Xc8Pt4DrMQeg703oq^-CaZ)}`EykH;IHw%tc1HPKQE7Kn(FgY$fO`+b{f3}{ zBZQ$<{K%*IvCr`nC-W~(5ng={y)_e0U4UnNgy$~CpL~gzuS07#;IB91Ej#e8efYp3 zeB^t4{3m?s7ku^tx>P4zt*3Lk-fx2GZz1;p1~L#j1PZJsYHz{@Oe7a3%>rq1lV+N2 zONa7890x2X5t@2SkMm5jK)bJyRLaA2H!u{qg|>d$(xK&xjUEoAM~iQux(g}>dO^Lq zkL&K=RB=6D>#x#XT3Mbt^L!7_lSj9$)h6zu^(wl7Y1OyBK>v770o(vRCxm{WSZv(^ zXA)Ez&MeD1>aGdZb?AHz`sE@zaTXstg%2Gk zdykSGhsfrAWc@C(W*b?t8GpGEEm@BiEk|=c!81O@)8^whsWzkM-@@Zx#Uo$D1E0Z_ zRk+J=Tsjcv_eI&gP=~v zx3rOpkOwGxV2p$bBQgV#8tB#o>;%5BaVJQu%mi*04@71Z38NoN zWe@Cr`c*L)XVilzepQD4M_3jLkpC9iF?fh91WAJgX%PPqC_e;ZqQQbJL?jf!np^bX zVB{495mOAhE2aO12Ly9xLoO)*he5A{emAi~;QO}%Rq+tdkA=J0Zz!M)H2^<~dObae z)eb&U1I2SLz_?Tx9|4|%#VHz{@RHIsamAI@w?)oI|H3Ijm z!hEdV{cG8``!9 zW}OiZevgj+gpU7=PM)Q6eqFhSuGga*H_^>o_|~uJ_8oNRF1~jkKX`x}8fk-qW(Dnw zb~`<`rr1g!HdIferm8B&&Be7Bsm=OO0X&7rp8ampiY6F6;^9#bTmR$F#b=&Y|A!+V zzTMAiT+$sEcf|#rNp3mG?m#k1Nop}^Ux?ck;I{dcgj^h*iESy^oJ=vb z!^XBKED;$JP)HjT6o;U_f}#gT)0vC|#CEI>$E>3j#(`ya8jq${6g8wo6Ut+gd5rSk zO!MDuwcm_3D2R6Xqg$}fL;nmOgZ}?>>*)_b82vGjB*cRlXNOR`2RbMU!7uXqBNpWK zJJ`!Yfl3fN60{4!*#($)ROvuUu;U|;E)wZM|Dg7q2=H+t|7c{0!`1|BOT_j>>}X5E zlSo865}Ayn+LP#15}ih3(g@5UP07Fs*`$3wPJ`f6G0rH#S*17|4A71^uQM*}ii^78 zlAfrn7wXWP_MOW6pl(m#K0|Q7;kf^BJYXamI2sMELPN%(;ZLJclTg(QXzWX9{ABd> zE9jYjqGw-2li$H_ypO?1eRnpVIuC=NbmJ>Q~2areD)HqzKlFBf}3}sA)tE=v|WhCsYg;D-O~2- zP%i%m8}t8)|LXsS?!u{hD7y5oD7*i%p}{=x8iOYvyiZLo{Gb`%Ys4@+<*j?vPkilH z;mS=2#ln)v{IzZxjf4#^3)lT=CZTzZD!txEmvh@OZmp@yJK3#(rtwJ9zNAtfxa~Gpoi-h;)39nBV zCch)R{I>Ao8xXWW6JNm-UM5eyNJc+LMoc0@K&L0*f#b;&<8lA7xW`CTJ{Xk^6w00u zN(Trf{e!QZy9>$gOtLzWta6gx0c<0bP$WN2Fp0SXciRFx*>dPpn{#wI$|1U}{TDNfhHl!jj0J1wO=_ z2p^8kZIK5{TMT__OA@lQ`xgXTvJjC5KZitT{Sh3U^&73P#^&JoJRu?9E#hih;7%%Z zw<~fdm+gLwa8^6e3F=zIL#aeV9qtR+BxK10r)Cl{&-%x?hMK5(I4xh7n`2H9JD^;Mzf zx=>e-uHM2oZj)R0$ejn|eiLZ`2doRcbF>xEc@LnkC^i(@Jbo*P{!>qb5_R`~g%bYH z{)qoGy8CaW7p|b+DjiDHH6aQxTz4)Qpa_m-8W0c~z)#%71I;Z0%rXbrExZfCP(>KQ z*wlm?8`1p-2)xL*@8Vmxas4fP{U*Lz5AI}CeU(2~=RRA*|57cSz95`BhfbbBkZu7E z<{wU@?|+8eH+1L+YI*EEEbRVP*s+)2x|`p;Q}|}Puwe`OY7<_&5wBVgZZ>MWe7*`T zUWqw#D9R^-;I$dVul^d4Y_6mW-N6Mm<9rIq`Q!OeBvod?Epc{TbkR_`uPV06ZF` zi>eoh}5 zMR)<2o1fx^i}6RFQ9fRRKV3!_(Ee-%`g|q&Vij7t27S2>t@s+P{zh24Sy;DCSieKq zxJ&qEx3FoCuysG$c@Xb8MD`xW`;MUfN72D!!jT{O?@#i_PxC*WY7hSqMyYc`YB8wt2dR%{^4*OM>5!pqi?WorpU z89!Nx7p*{#fEO))44ACQ!@}kG!=?Cx&uI^L!RM6u51IElew4YN<2iqpC3yZ4{NWc6 z^%Pl(7cM0Wm*GEv7A_NjMPCY^u0o%!dB|GYyYTS&I`l`DuE#6C!K*j70zp=&mi0R@ z%*M2FH{P@dZ{CNu?8jRV&@HfiAKtl_2AOv6!+Q_lec$5!hw!(D@uBbV;iKrgW9aA) z=-7|wICx2a!pBeGA3U7I$4}8!txo)cPo2f5&*L-IkYo5-qfNQUl zy6fcf4RX02U#Z8}ZqZH`biJShcDE7TYeM&%V7_V|fc*8wW;%wbsRb+o$QWrGiG(-!~G>pfAJs!Y#XjO30its!Y_j$~Uhp*Gm66h2kV3dH- z3=N2m#e)^^U9>SZc+6;MaCgwnU#T`8 z;LES^GGIe1OE=(0`CZ7 z*{}!wkxl#X_Jd^S;Z}AXA$yLJeaFZF@E83=4xb?3ogznnCPz<`?*MQmog|>9z>g>K ziBtI0Y5emUI&A06d35dqx=@X(FQJ-RR9lDYF2kGy7(7vsMFT*8zxFCksu9%`*WV^L z?~+^h@$CkDuL<98!4F#Sy=Hu;k=$)0_kbqo{^N!g+yu9AFidF{08IsgNV6wQMH4Vv zb1)(9{~Mmm(vvRmXxX#J1qlWZfjyop`Qa4_jRCG)nj!$XL10Y$_qzKho6LU>f2;;1 GlK%p{H)9(B literal 0 HcmV?d00001 diff --git a/uisimulator/buttonmap/SOURCES b/uisimulator/buttonmap/SOURCES index 03d4d7caff..8ba566150a 100644 --- a/uisimulator/buttonmap/SOURCES +++ b/uisimulator/buttonmap/SOURCES @@ -85,5 +85,7 @@ ihifi2.c erosq.c #elif CONFIG_KEYPAD == FIIO_M3K_PAD fiio-m3k.c +#elif CONFIG_KEYPAD == SHANLING_Q1_PAD +shanling-q1.c #endif #endif /* SIMULATOR */ diff --git a/uisimulator/buttonmap/shanling-q1.c b/uisimulator/buttonmap/shanling-q1.c new file mode 100644 index 0000000000..da9787ba2e --- /dev/null +++ b/uisimulator/buttonmap/shanling-q1.c @@ -0,0 +1,72 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2021 by Aidan MacDonald + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ + + +#include +#include "button.h" +#include "buttonmap.h" + +int key_to_button(int keyboard_button) +{ + int new_btn = BUTTON_NONE; + switch (keyboard_button) + { + case SDLK_KP8: + case SDLK_UP: + new_btn = BUTTON_PREV; + break; + + case SDLK_KP2: + case SDLK_DOWN: + new_btn = BUTTON_NEXT; + break; + + case SDLK_KP5: + case SDLK_RETURN: + case SDLK_SPACE: + new_btn = BUTTON_PLAY; + break; + + case SDLK_ESCAPE: + new_btn = BUTTON_POWER; + break; + + case SDLK_KP_PLUS: + case SDLK_EQUALS: + new_btn = BUTTON_VOL_UP; + break; + + case SDLK_KP_MINUS: + case SDLK_MINUS: + new_btn = BUTTON_VOL_DOWN; + break; + } + return new_btn; +} + +struct button_map bm[] = { + { SDLK_KP_PLUS, 426, 140, 40, "Volume +" }, + { SDLK_KP_MINUS, 426, 180, 40, "Volume -" }, + { SDLK_SPACE, 0, 244, 40, "Play" }, + { SDLK_UP, 0, 133, 40, "Previous" }, + { SDLK_DOWN, 0, 357, 40, "Next" }, + { 0, 0, 0, 0, "None" } +}; diff --git a/wps/AUTHORS b/wps/AUTHORS index 4f0d852286..0afa5b9896 100644 --- a/wps/AUTHORS +++ b/wps/AUTHORS @@ -16,6 +16,7 @@ Cabbie v2.0: Johannes Voggenthaler Jonathan Gordon Keith Perri + Marc Aarts Marc Guay Marcin Bukat Marianne Arnold diff --git a/wps/WPSLIST b/wps/WPSLIST index 70970dee58..efb1030585 100644 --- a/wps/WPSLIST +++ b/wps/WPSLIST @@ -82,6 +82,7 @@ RSBS: no wps.800x480x(16|24): cabbiev2.800x480x16.wps wps.480x800x(16|24): cabbiev2.480x800x16.wps wps.400x240x(16|24): cabbiev2.400x240x16.wps +wps.360x400x16: cabbiev2.360x400x16.wps wps.320x480x(16|24): cabbiev2.320x480x16.wps wps.320x240x(16|24|32): cabbiev2.320x240x16.wps wps.240x400x(16|24): cabbiev2.240x400x16.wps @@ -111,6 +112,7 @@ fms.128x128x2: cabbiev2-128x128x2.fms Font.800x480x(16|24): 35-Adobe-Helvetica.fnt Font.480x800x(16|24): 35-Adobe-Helvetica.fnt Font.400x240x(16|24): 15-Adobe-Helvetica.fnt +Font.360x400x16: 18-Adobe-Helvetica.fnt Font.320x480x(16|24): 27-Adobe-Helvetica.fnt Font.320x240x(16|24): 15-Adobe-Helvetica.fnt Font.240x400x(16|24): 16-Adobe-Helvetica.fnt @@ -144,6 +146,7 @@ filetype colours: - backdrop.800x480x(16|24): backdrops/cabbiev2.800x480x16.bmp backdrop.480x800x(16|24): backdrops/cabbiev2.480x800x16.bmp backdrop.400x240x(16|24): backdrops/cabbiev2.400x240x16.bmp +backdrop.360x400x16: backdrops/cabbiev2.360x400x16.bmp backdrop.320x480x(16|24): backdrops/cabbiev2.320x480x16.bmp backdrop.320x240x(16|24): backdrops/cabbiev2.320x240x16.bmp backdrop.128x128x(16|24): backdrops/cabbiev2.128x128x16.bmp @@ -170,6 +173,7 @@ selector type..+x2: bar (inverse) iconset.800x480x(16|24): icons/tango_icons.32x32.bmp iconset.480x800x(16|24): icons/tango_icons.32x32.bmp iconset.400x240x(16|24): icons/tango_icons.16x16.bmp +iconset.360x400x16: icons/tango_icons.32x32.bmp iconset.320x480x(16|24): icons/tango_icons.24x24.bmp iconset.320x240x(16|24|32): icons/tango_icons.16x16.bmp iconset.128x128x(16|24): icons/tango_icons.12x12.bmp @@ -189,6 +193,7 @@ iconset..+x2: icons/tango_small_mono.bmp viewers iconset.800x480x(16|24): icons/tango_icons_viewers.32x32.bmp viewers iconset.480x800x(16|24): icons/tango_icons_viewers.32x32.bmp viewers iconset.400x240x(16|24): icons/tango_icons_viewers.16x16.bmp +viewers iconset.360x400x16: icons/tango_icons_viewers.32x32.bmp viewers iconset.320x480x(16|24): icons/tango_icons_viewers.24x24.bmp viewers iconset.320x240x(16|24): icons/tango_icons_viewers.16x16.bmp viewers iconset.128x128x(16|24): icons/tango_icons_viewers.12x12.bmp diff --git a/wps/cabbiev2.360x400x16.wps b/wps/cabbiev2.360x400x16.wps new file mode 100644 index 0000000000..163d749e50 --- /dev/null +++ b/wps/cabbiev2.360x400x16.wps @@ -0,0 +1,81 @@ +# Cabbie v2.0 +# (C) 2007-2012 The Authors (see /rockbox/wps/AUTHORS) +# Derived from "cabbie" (C) Yohann Misquitta +# +# Disable Status Bar +%wd +# +# Load Backdrop +%X(wpsbackdrop-360x400x16.bmp) +# +# Preload Images +%xl(A,lock-360x400x16.bmp,0,0,2) +%xl(B,battery-360x400x16.bmp,0,0,10) +%xl(C,volume-360x400x16.bmp,0,0,10) +%xl(D,shuffle-360x400x16.bmp,0,0) +%xl(E,repeat-360x400x16.bmp,0,0,4) +%xl(F,playmode-360x400x16.bmp,0,0,5) +# +# Album Art/Info Viewport Conditional +%?C<%Vd(a)|%Vd(b)> +# +# Progress Bar +%V(33,316,300,20,-) +%pb(0,0,-,20,pb-360x400x16.bmp) +# +# Hold +%V(16,365,38,29,-) +%?mh<%xd(Aa)|%xd(Ab)> +# +# Battery +%V(69,365,66,29,-) +%?bp<%?bc<%xd(Ba)|%xd(Bb)>|%?bl<|%xd(Bc)|%xd(Bd)|%xd(Be)|%xd(Bf)|%xd(Bg)|%xd(Bh)|%xd(Bi)|%xd(Bj)>> +# +# Volume +%V(147,365,50,29,-) +%?pv<%xd(Ca)|%xd(Cb)|%xd(Cc)|%xd(Cd)|%xd(Ce)|%xd(Cf)|%xd(Cg)|%xd(Ch)|%xd(Ci)|%xd(Cj)> +# +# Shuffle +%V(208,365,55,29,-) +%?ps<%xd(D)> +# +# Repeat +%V(273,365,27,29,-) +%?mm<|%xd(Ea)|%xd(Eb)|%xd(Ec)|%xd(Ed)> +# +# Playmode +%V(309,365,36,29,-) +%?mp<%xd(Fa)|%xd(Fb)|%xd(Fc)|%xd(Fd)|%xd(Fe)> +# +# Time Elapsed/Remaining +%V(32,339,300,20,-) +%al%pc%ac%?Sr<%pe %Sx(of) %pp|%pp %Sx(of) %pe>%ar%pr +# +# Album Art +%ax%Vl(a,82,38,195,161,-) +%Cl(0,0,195,161,c,c) +%Cd +# +# Track Info - Album Art +%ax%Vl(a,0,206,-,98,1) +%s%ac%?it<%it|%fn> +%s%ac%?ia<%ia|%?iA<%iA|%?d(2)<%d(2)|%(root%)>>> +%s%ac%?id<%id|%?d(1)<%d(1)|%(root%)>> + +%s%ac%Sx(Next:) %?Ia<%Ia|%?IA<%IA|%?D(2)<%D(2)|%(root%)>>> - %?It<%It|%Fn> +# +# Track Info - No Album Art +%Vl(b,0,56,-,247,1) +%s%ac%?it<%it|%fn> +%s%ac%?ia<%ia|%?iA<%iA|%?d(2)<%d(2)|%(root%)>>> +%s%ac%?id<%id|%?d(1)<%d(1)|%(root%)>> +%ac%?iy<%iy|> + +%ac%?ig<%ig|> +%ac%?fv<%(vbr%) |>%fb kbit/s %fc + + +%ac%Sx(Next Track:) +%ac%s%?It<%It|%Fn> +%s%ac%?Ia<%Ia|%?IA<%IA|%?D(2)<%D(2)|%(root%)>>> +%s%ac%?Id<%Id|%?D(1)<%D(1)|%(root%)>> diff --git a/wps/cabbiev2/battery-360x400x16.bmp b/wps/cabbiev2/battery-360x400x16.bmp new file mode 100644 index 0000000000000000000000000000000000000000..d00b4c1c5d584a04498c7c7d0bbca35934c19a9c GIT binary patch literal 58054 zcmeI530M?Yw#Uy!<3mj*^BnUMmvMBm%$PTMCK@%#XpBw-62~NpSxk%(Ok83T*NjeL zqB9y9XG~l`gNZtd>`Oxf%?^SJvNj-%%_dtnLZfT~0wUk{PIbYh?doc}8|be7rtkNs zICbu=>U+AX7k+g(wPc(^7U9ot2>^k|)P80$ZY=vl9cYZ72+zC(|2Ge2 z%m>F0V2O(n`m-zO(bBb`Panfl9=-7i(}hc_*Vn+KQ#5C-WzSql0t!j6jQHgeUumCm zh;OgJHwS#P8ToLP1wLwdXTg2S1aFScXMncS;XFrP>ELxmTizKYFb}+?Fms{S^!rxH zM_)t8RX83Chr;292skW(BlinE11J&>Q)vvQ`_bB*`Ufzg;0Q{>%<5laI2H}TdE~Q0 zeG}LEP1fuNe<`!Y(P;Sh73O&O=W>)s{5cB9Bljtv1nAUN5~x-{pTVv&%L080l950e z2`C_V7(C*b=h82meEz-G{QFj!I0Ev(Jr2%XBK~sXp2+A}-x7`CQUW<eH?^egowtO5ed<|HT_F5VEVCPY-?B<&)rXQ$UMPFFpY zTuVOO#m13Z@05A#>C9V1TnQnSb1&37rK*IW7n5tJ#VDu7D5pd#Cr2wMMJXpp6!u|N zI7vH+;)Tpxj+wU}Os?yTCTjzA0@Qo2(+McB+rZ#EiyO1ub*( zT1a>`MARtSV_HtjuCfjXc{>wFOyh>acDL&tjLJI>lru{zx=IaZjCv$@ zu%_p}@#-DoR+>)sA<#pG9_QRPoDUIKzf{=1tMtxXY3tZT)#xOZePZ3HgxZnuwIr|* z7O!N#C%Wu@GPm_WRo5FO9VAi-aV=k#ba*PdgrGIDI}qPO#EJ#-J2=C6vUcKmiTRE@ zVJbYP)&rx|K``HUU3O2;Z}X_R`_A<)CXR&Gz2#lLb=^YH7sXwW*ha+4g+(1WL&xGy z;*r!hajoAN$1_*k&#LZySaFv`)h1|a+e+`^d`P%*QE}(ly6)xpOrJ>B znt$IaartIO!JUAcJ!>nwiKGTDbO=p&JYFg4#2J2o&$MSs-^8_kSy$do z;_FGgO1(rwAX1UoxeET`2>hV(bU>s|!@A1b0Z?5}gYQrar?v09j8>@S@q0gWm*3j~6t!fQ6WYzFJ ztW$JPlintK&T|h1>ltN_pNC}Y5wi8@AjI9A>!JInUDV)qQ4ckaFyCv(Jj54=jqg&F zOW`gW)Tsf9HJxY=fOY8r8{ZWO-}Ovk2=%6a!t;MZk)v@|%6E^(03zo%*Rd~Yaxm0N z`L0I-1Q*;FhjsE@M4h6-`{J-pzWdW<2$O5X5oV=)7omB0aeo|cAy&$F&t*eoDUE~q zi!aOqUyQQ~z8h2ovDJFx z_?1=gT~XPH1m%dg*4yc71W&Vve`OVXH?7Vgx4~i0VFz7p!h{JJhgI<1jGIpRjZS-y zy!hga)22qU*k{AK!{7$ ze@E6IAR7;m&4-CK@Lkz$*Wy;!V`t~ho5#fQr+5D=qTCw!Zb|!&^7b8Pf*c(kF%0q# zk(Kh@%1$>$$AO?IXJ=<7j(>((Dc`NR>si<38I!VR%^D_-gP~T+ck8=-Z{GDy$@t=n zFWB?SO8IX6J)fK1-pT3PwrykLa1&W0-%aj-%bk$k3d=TvogIoC2)0tbn@~>@R76q* zp(PMn3{grO-|c6;nwVA#$7-!=jx^9Vd=LLId>7vlY%!5zJ^^y-EA>uSo18oXoOqhGaO&(v z=WDl}e-bTMH>8KGL07GXQ(tXyEp2o4igM*?*21Za+jmrUY!6P{!PBgTQ&)7j)pWVV zTyg7b2Q(V`tc6n}k5=9FOp$u>G;871s&3zgd%l_1e0iF+aB9^(pN4xr88RQ9W-Xlh zVkhKuGT%$oJeqGQd``{q=z1clCJc}6722%&JT^{UeUA?xYx%WS4P?`r!wlbpjZ+&| zHA7Fa4D8ZZECWkv@D$5nD`nW~W!UOvFoiR87Rx|qUzrSQSZ%$G;}?ie4mlDF&%dfm zOwzO-35QdE9|_*+WPbn`d>G;p4yQg6gNfaPibEKj+C2dacMK{HVQ^~vXStyKLBt^x zPJJ>J6T3~1Lol5BbOyuKO?{mUhf|-EVxBkIA85$Cla4Wh;ndz&vHA%4&eS-#p;?Gi zV}Twl#xay}ScX#vmDpi^v|BJQdp4%Sf}Hv?^5_N!zZ72fY)pp*Idz(vNBdvm!O^^K zp>gW$28Krmr%?b+c{CD3Y+OHj&@VJj&G6`US4kERj^=d>jZ+u3A&=gXn9qZwdEG+e z)D;~EkVmJ=SsaZl8V#06_W|nO-x`>j8H1-5es%~apIUj>v%cFi=QXZTZw1?@=12c3o%FsyMTm(cgN(?8Q2y z8&6Yatq)Qozcz(aUo)|y^A8g6&o(8qP2(BmGtJ4VkH#938O=9S{eC76<2kiyMV*f) zLU8^t9uE^Zbt1SMFD!S41b3heZ~f0ZUoSamK2B{|W;EYS_4}DG%I2!;Y+z=z{t5H- znpF4WbQYK(EER1?W;EYS_501pseKBVy4}O``p^;NuyJZEu^wkqX0(n;8sPfHaB*sr zGNX;5)BR(Il~bFP8Ep)m?jLI)rxux1*Lk4KXkHYpx5NCLx-T=DLT45<(^YD)eilsY z8N+rBic{Au$!v1XYcWm*17t??qG-Jx=I7Mv%;+5jOJ>b_deTBVoiDm8kG zH&=9OWk$2$nMKlQQ-j*W&8g!}s_Q&ZW;8E~*4trzPOT=J%8KJ`{oVt_c>oFmD@jPJK9< zN$<8u97D>fkHs_T-R|+`JseEEK2z>L$PcNHUtsRFXn{C}m{a2`9LuJdKaQd1)Th!R z^cun9>Sk_3&8g4k;0+8d5XVq+>ht-4cVs+n_T#}l{Db4vc>mStN@2t?C{7(%Vi%_v zaXhh~8C${{Jg2^N!$Eq>F+8`Q8C${{Jg3IA==>(9h&;V)c_=%FiBo4aEHAw699@9W znQas|vsjrfS-<8OwCP|io32uWZaz$$I={uWq|Nn$TrXQ5%Fbcp)R-1s(Xk_~%p~S) z%0|P-sWB~DT1i>69vH2si)GdpnrMSi@XA1e=e?{IKx~NECJu6%uhje$6-P~brQI% zbv)T3R9aUYgW}W{qS89z7#ydz6qV)%ViKo5lMQFG7$KDow*-~u#xXcfjZ|793@Xiy z%otAXCm1TNBMu`twJ@kOmxLS3sf9tMxg^{`P94&hPmNSM&#Y9MOSp%GQ)e|e7q+le znj&UOkdY~Ku`>2*I@LpT)buc947;mAaq8M78I7)a&8}vr(p#y;5ju?>6jYxQfV&BwsC43r>0C94K9PGy|jxO+%D>&hK*C(IJE>0 zOZrJP+L}6|(WTF}-A57st$!|3X|6a4)LN*TrcUcL%$`q;Nw`inZu>S z!tofwsnv1JojaF{j4k3ZnN#BpehFEyU;*XPTIiYjH5oK@Y7N#Lqs6A#P1y0YiyCx? zCUfeOOdLy=Ea4(!i+D`t)ap1^u3X7Q#uo9I%&FCJY}l}YvS}^!OjoI)iKjK4mgUsy zIKKSyOD;0Dh{t43{S)*0*t>Tx7a3c`V=|{!$FXP69xgJrh{t43jd6?^$tA^>^%&2o zA+`=g#b(Tv=2B^{$Hu8`oSHIaG`I|!_R=nDaJ#678a7UCY?6{_{gxn1vA6IJpFNm6u`+8ChIsr4u>Q{6T#zQB&QB60-8^4 zTA}J;*oxq7&5Y#KfyKSa)ND%iAeWd~juqWMKLoDd!m6FHay#IS7C!kq9E~^XQJAw` zB!g3FfK_>d|}DJbn7{61?C9^WK1$--1_`!>jMYtM92v_(#kwsoz@9=$*~* z-~YoK1a=@l$D=aJ9!8Ia2OoxMPs6`+^gSqdN?!RyTmcbf5F{rtRqXL_*$r`uhs0&0 z@K%SSa`2Yv)Av#OCTL*afe`RaRUdT77DXa4;8*o*LZtb4rfo%22D{eI?U?_W$JswQ5jc`~7TWI_$W zw?QV3@t5ixuBfJ8sV89-5Lh-RLE&)mhE6$oC9YVp!o|g9)22;ZOl@xjrs~|efzAmL zl{iVe$m-eY^^4P04<*-<4|lO~q}DrS-g-Lo77E6)59nMNt;d~f{R+ErQ5>i1#mA#TE7GyQPDQy~?tRna!%bZseGa6SFw9LtCA>q{! z(U(t+Db=Rx6G_gNt=qKbOIJ6Y4%#SAqgod)<9ncasSP+E5~i?^t$8B5>FMmIXL6dK zl{U}JX?{MlX+>`H%7WWtQtIKY4eB_4oz=XtsO{x~RuZ9rsGBQuZ|{(|4N}`eleg^r zE1kQhu{}D=z@0m0HsgFqSoKUL* z%dWBx2YEXaM@-{}!ge>zr&gy_Yu2IeEk&&^U9IUqz$RapcW649!6mKxGRyydS-v+Z zZ%=IIo~X1vk(c*IT-={u%k*B?9?$L{cftx+opal8K15voQepe9(mQjdtz#2aqmxwj ziFKnAYDdP`lE6adBb8}*UOk!HdZ4Q7jgk%$sRWu&t?2T!wARS(Kzs`kD;CJ_;0))< z+KJ~Sm^h93=JuFc4~$X=!NxH?zs;lO?mO4Jm^czz_m+40)^!U(UleyiVjB@F7Z!Ek z3>}L*iAPf3#I=6o@p$HH`&re!4=e7H$Qnqxv!~*&Pu)EsXj|!BoDT_CE-LOkTi3lD zpJ~ig(A|j3H!}+E1l;UdTiHz{HB2V8z=X%+m7-3Z;RpCkREgkk+bZ7qtx; zW5{5>{hU(uc&U0YjAg#n=p8|dHdgd;i>1~myf_6 zZ=?9Rv4e+?w$m%kF4JAc_bcqIWZBg}t$)qI!Fru^nN#{Wtry#;n=*y;HJ6bDu-O+use#+`MJ0)aZ_mapks!Vx3BY!-Msa_P(IuD z&$SoJ`S3X7&s1$X@B^J48k_!p(Diba?oBdkH|=BM#-(d!9e2!-@%Gj&{xMros%D3k?Y&qn?hO+ti+3i;w^=z^|w|>iy=eJAibdDK9-hRE~>%i!(JOBD^Oga*H zO4O;rl5(e|#7$;M$cEMbgqXdsau2N92Wt;OvJqo5aG3tND&w0IaPx6kn+cJ- zVR;%XYmDW)AZ8zI%)@2dp{08Mw!J=82PZFpE%~tO01G|_QF|cn2qqkZc3qxI$L541 zrofLU;JXZn-v4B*+z)YCxGoc#wy;I;w$r{VZY_Y=OjwoS1(Nb`L9Dk@Rz5CHgv2~p zo!JO$vLHSO5^`1ikPY7-ZbXPZ0y~T0xBQ9n*4lQ#)Dl>i4Qq}z!j=O3dLw?iTtysh z!O)06{3H{8wccAn`k+sm2U|}ii3?S+tB0EreyBw1i@Dg15_ z#ly+-fzIEVLo+F7_$$gA3vA-Q|6;q;l6EO)9MGB)*kXY$y;yVfH0{2hT+{ac8$bG+ zHK6RbINhlbXzbbCOzC0E!0p!7nzObNryC#ROpSB`aDMrFjV5C z`L-CibkkeLtIo7g<5irs!bbC?Lz-Dv_2z4OlOx8Pt6FAU!avQ1KCff=M4qtt_@@@3K7u|@kvoQ+xr2)n+)6nuXcBXVpT2`1f9tg3;RLCLpZ!HiHhRbhzknAq zD~6QZ#R;Ez>f*?wFQcJn@3qOh0l{q?*IbRUe`xPhw|kwsjRQuyTK8zKZReNFxBhtk zK2H6@6TY%)&(zWfx{NXiY~l&u4F{KOeD~tR7mrmz+sNE}t?^Sqr#Dxd=-onQ- zpSaqlW9y@pGt24%ezd?#d}Hj|ULD#xtMZd8b^hB%~ z>-G(@i1dSjU;fam@XoyQ`c{X^;MYAGR-dVduZ<4LzBZ@4enweckAmBNn~ikl`0bXU zwA1~Hf1&M6E2|4iErw1#pGn5axlKo06yMEKJN0ti*Om241-`7TA9wLl+ng%=`0vmx z*vaSlOtfl;qo(2Ku^5$tE4E`q6b>E>O?6d7XsD6R6#5K;uysb;UKCc_H64K-WptD3Y0VRXN^(Z~f-4Ar?F zfSAN@xXUC>krAuNT+|$e6scK<;_OM1z7uv7LF{4p$DsyL$+R{L)*V$r{qHjyR*6Y` z@>0J~IHqc9^hP7GBj$)x<}Bx}hK(kO&1!@Vd3=D&wy9Wm1QLyn2*f8Z@?}#KVP$Jh zavNd?>Nfar`3;AFAlP06>kY7uJ{fjMG(lvFh$ou)w6Eh_BiVDvi+m{pNGX=HoulZ< zF}Ys^oShM$Qu=|3jk(_AcOA#nf4H7g$M?|Ng^&J*l5Mz4O!%ur= ze$zbteDJnnM{GZhdrIM*8LUaw-kuA=J5D=twiT1FxOx?;@H84~NlCtY3XfUW3Jou! z(3}++W~gu|kZAahp`3p8SWw#RsA0J-N~sZs3gG*A3MgU<9oqm&SAvwqy40K<8OFs= zg#*HkmAGQ3RT1crUpG)4FxyL{!*`pY{3I^_J`0I(N8&vaZUGWQvx2rKVP+(F#S<68ij~ z<|O#5g0E`&*zk4xS#%iHMGZBQtdu-xg$wyo1OTm8E8}ZfP`;fqV^-CQDc*yEbeDjW z<_4yh^fKLe#e7q@t3;Pt>=9Cm+Gke}DX0zDS4t6BQ%%UG$qH;jQ+BCU6gmyQGTU_Q zWWL$MQtQP-Ev;|x!7BrcZbRrKj=*_xrdmaabI%^UMq~Mp-c+)HppawLgHGRtk?--) z6KmJCOMY$N!rRbysGzG6t)XRj%25dM1}H(BNdaxb(J2@1D^Ye|>W^0*`$_28du&|>A4{>}x zqNS8LMR{4VI4g^N@qSdNrY%|=yfWj`Bkh_D(E3;-x&DvS7md2`pm)(-`IJnM8Z@mZ z$l5HULa5Rd9kIBEWatr-|4?#oZ0SQ~=_a=igEd;5IJZyXoynITDPP6gZOKQgW!M>O zv#bw;R%o4u!tDHjo}vvq|KP*2y5N6Z26{4N|InRE)4}8XHk;lqefU9Hop0;`@l$Q{ zwwDtELS5{`wiThr`Zh1MGjT2zH-D+9fB$k_&lCS^vh$Q?_1=KwyiTSY;iZqL;u&=A zJ|6_%8`vA?K_(iF)`uWXrYW+Ni#%=bsP;ScRF-Y+ZmHE@s#{P|zo@c)VMYD)vbz4o zcm0xbV4%zT-`7{e(L^K9lZU=OT3-!;7x>SYr|71`owW#oH^#yTi(yU-{jS5v_n|{K IcU*w~1JZa&=>Px# literal 0 HcmV?d00001 diff --git a/wps/cabbiev2/pb-360x400x16.bmp b/wps/cabbiev2/pb-360x400x16.bmp new file mode 100644 index 0000000000000000000000000000000000000000..6b5884d059c928210cd3fd07e405f44dce8837e5 GIT binary patch literal 6654 zcmeHMc~F#P7=ON1JU~EQC8ZFdR1m_8#RC<0S@Bq{z#|h2H8qb!O$AdFG{pnO^~}tp za@0vP!>d!BF-pK1%So*qG>;-dN5?ToOZ)Y_@B8fmlFs-?GtGRz<$d4h_&vY(`JUN7 zb{AX!znhXozKJM;t!Ry?5uX~>Q3>7~`l+>+ZLt`jdV!#b4$u;kq4h}vX(mwn9;lhM z8A0!#K*W*Dh%Ua0=wsIqU2+Z7JQ8)6?uDbI0(rmP!RD*?u;~h~3SQ;+Ks^S)cgHDY zoV|sO9N$oW7aM-(RdyE{=WemK6{g*1F{t!5oMnH(d5PD>KjFN) z$bF?~y}uMuyu$V{$I=s~f^%HM{p?`=mJ^`&zGq+D^#kagZ$TSNSeH4wPl7fVgWmcI zw62h!?f>W%+`L!Hd@fm3OC!qX~LDqpVZD*a2IKP#( z)*t4YPdRr0v}_+}DX+Y}pjP}oSh5G&hd=YPa8KKqyNT~u&wA?)g5LZBTGqSJwj77H zi=Xj>lf1ZJO#=m*L6H`IAEiLOwP@w4)oW@RsAd9dR&m$o{=_^am zr7}Eql>|60k~%yoFTSTzvUIjM+;y&dTm(_g$)PU}89aEQCH3mvr>~=5e>a{{ z*0b(FRpU7h=%lJCZ(q9RYYD~N$F8qan~d}`u6GFD=EHfx9$l&tTwC7mdGy! ztFSm`x7n>$tF&!w$e7^S64_x_79`s2Y$P&SfDI9ryWnuS)y~T!E$LRVGgOAv?81~G zE@!s%WJgvZDP7Tl5ND77gre$!Yh{}ieHTf^5d@V}R4F6)98}7ZAt>r46{NhnLrS?cq?js} zLh=qTo)mU%lIL9^?o>f}r)p4K>$y($ppyhu*H(o>%f*&<^wX_c@@G*BX%^Z%EIjL8z-1E2%hMo<~kqdDXJ%_S}ZxE2mP3V;)~vx+f3Ld6KS-INedVp||7B*Fz6I z!o$O!3T-C7;}A6tdaOy);NZs%R6Bv-;HFKU2x=@xMdTk4SieC-v)SCpK-CGD%?%sW z4-D|vM@8gQt9G5bzJ7l73{;tbpPz5tI<;$ge(Mu6(@Zt0`2p1k)vRIS|L`dM16nT# AGynhq literal 0 HcmV?d00001 diff --git a/wps/cabbiev2/playmode-360x400x16.bmp b/wps/cabbiev2/playmode-360x400x16.bmp new file mode 100644 index 0000000000000000000000000000000000000000..148376b1278ab6c86ca90a85f96ae88b0c00013f GIT binary patch literal 15714 zcmeI3c~sL^7RT=e!CjPAX&Y^=NGq+?T5YW@8nsh#scps9x)+_gFtwxYXh&Ne>$Fu7 zMRsIU5K#6_S;M}EEd&Tl1O!A(Oc0AYw6&fy_eV5|NiaX6r+@VD&L@wT_q*?X^Sepl z-FF`gmv5d6z2z;cr_f_EJzVL5@C?<8Vm=&zc?^^R4-9yKjR%IZ__oZL3rjb!nXFHn zQDeYxfIP1k>;(waIO93$a0A5P^HL;VV-o-5%- zS6IhYv(+MpY}yCGot3AyoArW(Zx$!mJ1P1Gi^{liOtbj8`5LyFgs|fS3>bDZ=79`ax8En4?UVRfHL~ys$yDdlYT8k2r7aIF@%dpM2X)?X|l^|UYXUbsHw3s>++Dz{|3vca83Zx zmq*1mFUxG%kk_h#O?I1pEppBTEBHASE?ilYe`N>1U7O*5-^cinK4#kwnJ2f}7kBI} zzpBB9MIEfPXdb$|x8ENYSh%cM`jhb55p}ArjoR`ZqopVltc(wzd#6zLQ`<@JZw7%*0cJ$&Z?j0 zcS`}sIB-pY1O71iHQl3V4eu1sSbljJ#&UGq=H6pRck1%HYlN+7TtJW^qf?fo}#@tS#Y zmE0JC^|{i)eud!E3mN|u3RctgirhCqw2Z1$MheuhjuDxLR6}qTLwGIx7y=gKVaeKg ziM8AdBElv?^g_7MI8XqA6+rd*YS?)U%q{dQB2G=H=?xQ@s6oMpnaxu!G#Z67F$Kgl z!72w>x^8iL<5FJZ(zIT9jSEsPJr`XM=js^3s8Ip*-Fb9DoRd=b6~A4ZS9w<;svbVu z0VacC+4|25+cp(wvNW^RDEczQUxr;ykNV0eUIU_ShM0*Ls(R^d?5>c!l6?*LxT-v} zY{Nc5$Nq|k__n-bPM!o^F2aMoKfa1%*3mdi#*3gK^`fD!ADBI3&2CW;aK8)RFAUFOj>u+UD&CwckjzP+IYSstj7 zTV-{?=I?;b?uYs-MqHM2dB5m}RgQ$&(CF(My4>DZEI(NG#9rX<%e*;P8QA10ERgz+cP*uf2=!eWru? zD`Dgq)x8cIiQE-B%xLV4`AiZ=Z<%g`;R5i_2Hz}A&KBYifyxPRj|T)7fuEL{Up9nS z;G88&%f1@8<0yFX;BYh?j?&~<9G)wO(PNphLx*E%1+&$xZAN1~QgM%aUlPiGJQM_O zscya1?2+l+eL)9^%mUlPiGqy-+WFrAqla!p)PAxxms3KxP)nx>6nlvgG?Cn)Fa ztARhC1HZict69aGR$0>yd_E3$^!lUH6u7Bo(`F8>gn8FgTD*>>Mb}sHW!gNQ)`8wU{aVLkrWMG)sO-{aexV#Pq$;fp z$tPtuHVL}yABK9yWk~TE{aVg(+{!!0F8`{{>Z&`C+ojUV zxv^W^bGZ3eZC)t6h4iOZUqR=%_p5unuKcczeQgiq|Dw{$?K;@}n_JuO+Dzi#VWo94 zeu?O}z-xE4+1l_M6m+Y!@_%t`y&KS>gUO{mNGkz-1)XD;*Zm$Y{X?4_m+nI0EqJLn zm>oYE3%Xs}|2Qwz!As@8vAVB)-pd;9#>nnz^JU{*%)5Di_i2O~=G^e?_#;|&Pn(x3 ze@9A0>MLlo+@kV-PLg|WQWdV!doGfDQ)}-spRNa+Z+IehSNk6^H|}Y0R(@}!pa&PP z(f zjI(W7g#ZTM_|wlJEjW+I!^w*fRE$3a!3)*~Po`%e#}aAL?idS4VwfFfPacMp;?_f8 z_LQE123#gB3JT~Pi(@6_}SV$|Z5}av|I#I=mByi_JNC~sT zd}IC-dlN}3yvo>(hZHH$p1C@7oW?g7!iD&~-$TDZ48Bv2<**o$O$7gq&_c6Q+2G#0 zC9aGM+%xIy4FvI#6gPbjBg_oeVFq$6$0XEqqszHbWrTG|@em(f0fJuv(D#BqsEgJb z{;Q9)E{eImd+)UUPS`{Xo)0cD@-4c*39s0SrmG7fP~7*)+`=bU3{kBW7ANcK=EAnk1#Ge5wbHpPhWJ*XsDXU=`aG6Cd_L#e&eHZ>CHL7`(yoAd zlnwQ(>k8T-p&imBNN?8KZ(uO%q#MU_Ql8|1pyNP=e!i>du+6_VG+l}r68zS)-^oOd zrB#8{v0CC-#c=Eail>SmMg2vo#Dc<>Y&jDeTffQ5X&Ocx%PEC2k6NjRNYWPvh0^Ky zotS$QBdYMdRfcB-IF_#z%l+!5esxmq+MUuyC7mYu-I#V2?f1d3ks7bGe@_{$PQ`ID z_1eGw`W8W_dBH8n>%#w>hG!@CZ(5z!q{SyKnL6LsbWSe51x2@+h+w*n&T)oxl2!?G z{!M{%o&4RhTSmn_7$?T18x8;Sq4TA1EG_w60b=>72Kgs~?xFl&F-wNq{tF}fe}-1) z{OXw8b~!CH3o1wvF2kVYUoU=fkW&<+$D8p~^Vx$==AoY){?; zCZ@P}J$~&>`}zH5w;QwMpi?Vsijgty_lLj&a7$)FmHt9U-+eMMAfMI3cm@|jOPL6T zNplzk1vn)4Pba;1h97MO%1|qU6oYHm6g(cQUu(s7I8&gCP}HLp_HB0_I0hVgb{gGp2as~pFQ?ZZ>sxT#H#!>EKNRyhvRO20Zkz2$K*OKfG8 zn;eIo!?@Dhhic@9stspC zK{rdSXUhI}yjK1=Or!-fn;geSTb>p4cs0nq>KQzHYeieTrmISnSE*-G$sP33pZ|ha z-Wtxob+Vz;Uo1Ba`rn+<<-g*NBYM{Ww6w;Z$H$c3UQ~1YQ&IP-ezLgc_EQx-%(erY l^P==>NbZgK`1xKPX%3ya5B1J34xsHi1OMSsza#o#@qf7qSb_im literal 0 HcmV?d00001 diff --git a/wps/cabbiev2/repeat-360x400x16.bmp b/wps/cabbiev2/repeat-360x400x16.bmp new file mode 100644 index 0000000000000000000000000000000000000000..f6fb08d909f824de13fa3ff1d03fa08a4b7d1833 GIT binary patch literal 9798 zcmeHN3s6*L6#jnS_zEn^QOh**m005|U!~>)Gff@Gw6xJC%PG?`9c>&lEwv=^0hokn z3n(Ch1`6`tUEXhbD}o3jq4E+0mj#>Y9G2b1b;)IOrkUoRIrrz>d(Zji|NndcbH6=5 zZ!erX49zl;+7WaNpkoUi0{y7fNS_`6AErpBE|~TnmTfe}_sKq8x?=VUIE9ITt8h<2 zmu^oE`3H|~-SO_nI3^d~X>e7*Ef%sQ^D%q$#-epN5eM(fIIh51=jL8 z@x{;Z&4F(QPR8PB1P+JdP)LiCeIyJH;c$FR=_1`a9K!y`3U*?{c;{A-(qnxk^IG~ZQQ$IW5uS!VzWbwZaM;bY9#b(EnWw=L_yB2XNm8% z2xA83LzW8HM7X6Qut0;!t^5{(t|QZsSL99 zm?6dR&VffJymAm)%%1P8#<6Hjn1jw;@cMMNmw%1V_OPMRU8ga0410zY!804~85|o@ zq{j>`fzMT(&cT_h2)oIi)-NEe7K(-zjeLNYZLr&mPYHa*CPdWJo6MeJH#v%82_dvZ zj~RXozWMOZ<2FJ&_8o2rsm7=`aH*1)6^RCumViS9-&(eTF(XR#vEjG$n2}{;B1>l~ zO2^4dAunT3l2H>u-TUc0h`>t zJCmYsLwTnKQwUx@G}x0V=-5$@Nplijhw%H9TG>;{Q4~uEP~6dDD(_B?Enl2a@m5?p zqRU$_3B|H629H7?Z9?xm5W~k|$Y{Q3Wli_lGqK7lrouX^+%l#D@s)ba=!%(1RV&k~ zZIi1IQ>n+~&b5+`orFuYIN;Ay(V@BLQy0J?2#&#U2&PsX3BuT!6u`(aRrAwoKF_LM za=8Yv)oo%@w)e?GTCGr)b6%y-N?U7T#W#qnUXXr&TVDN|>^j8U6O@YSaZ{!>P@5_6 z$?X+aGcB#wBCbY6RSK6V{=__vJkgf!+N9R)D^%?*R83B;6VWw7QOO|&s;Gp#YH3smzm>U$^FiI{3m=JQvBJ~}$-Y9U->J0#a{%x`eIsdg+;Z!Kt8f30DC{$olP z^Hg?4YI0*OQQH@*m*uLSO|C~=4QDgT58bq%WExc9jUK70m3eCC(k5A1lk88EwXA7d zVWVY=3YjVd-@^D=y3XH#$trHdI$#da6S;&DC?{@0CYM8)&TYQOTob{02{%4|e3 z^{qsFX@~xU4be} z!L(8Qmk5&A6)aqfAAOjX&^KKxjM**@rVZrG_Td)n^MijWdA=6Jhi=MhWjM**@ri)zg2{S{YUc@kn9qeh%6@#gpc7!B*m6 zQXP!SWl|l?Ai0=+DseE==St@(aWIL4NgV8{bFk@4u-OTN_&*tV>w#?A+|i1e9E>*0 zXphhD2J)!DvG~xC(PV;P%%j9UvLpPxCm~n~S)6#KfqbvD6UL xJPt8eR997>EVMo+nQU5}MCnhF&-cl4%Ig_8)A$+-K9Q4xk$57!a?Xaive z>R2D6bQODmg>9;2ap;W|&D7_8XY#vNVC@sMaR)uV9S=rgv9Si{P5m_y1cy-6uj!DJ z(%UHAO=%Y>t(nqWD7BS7$fU=gg*E7F$}|s}H5;^}Rg}?!#9G9b<47^03vsv*A3JfP z0?GB1(Mo^Mz_%mjkz;;dAyzI;SG@wtm~ z+GuwSGz%A|#WkR~6OJ~@Zl~x{S|0_A^=4V-YhQVv7T<(yH%?UJa48NraiCa<69<(T z-C`Va;#dVg4rO-GBU`v6?W1z!wQ1)_sT#1u) z$ZOa3+7YyXcK(_2E+O%pz)rZ3d>*;&@(%9$Czi@L>833tOiS-Xc^?WonN7srf&5O& z@20$NI#48=OkfLNs-?EW(Sefo>JJ^uz3c#zwP#ES-!VO)c~hn{>zFyC9HWp<*dM+lij2%C}UMmkSc7wp{< z%kQ8sTn8jvL`o}iE}_^%4iE3WShZGt49yXeI=gYIA3Pn&ZAc0b?xuCXei^0x+Tl}J zwvuCbK&ZG6x!p+N*P%^9II#4Jme7nP>bS!Tcy|ny^uuu(sT~F(!x+z9CS+lKRxX{2 zR}{1HIF$BNP8Yp-5E1tng>s#l-KZHtaX;+6$nzk(CoHEY!tRO4^YC?9L9bSHg`EAA zaDnz0QWh_g{xCNdshSzgc=>g-3g zm#Vy4VlyJ{mQs07OKPP`FO>~Y$u)9bMah8GIk2YEyY0-7lHv8$-caW?wxe{AiU*dL z3~YAkuAzrcdr{`4!Yj1t1>?c_rfKy09xAzt%ApnIgPUrGq6{h{%4D9S`=o1VMcJTM z=0(*IzX-AqEC>;1K0>ToM^C(D2!Ag=v{RYaQZ~G~er#vc_|C?0zW%at{JDm)XBx(y zZy0-xEz0<-rt$EKVYo)MPtIadxW7);7KYIuj!;p*cu$YqQ8}`_YGh^A$lWZJ!>cNX zUp}u$bSlO6YUB8iU86ze!>Ar1p1}_?W-rk%B>=BOjbByQ_~NSZU9FSvwO^l8-tD*^ zS^sai{zb)C`6x1rL%J3#{rpARYp2|9DjA@%K`QssDK9w(acXpR&A5;Do%ZWdjT7Nj z{~f+va%XznbIGt+K%CCYGF?+~J=3>*bk)o|!|vGlx7g(Y-VKdS-stKE1kO zQmdV$%3)cVw>}i{Ipl$#%7*r{=V;q+WE-rRc%)&H*;szxF?~<%B+g7y)hH!hq#e5u zddF8Qn%lyQfxqC%ZmJnW!<4mlDx!Ysf%DTpX_~(G-1OqQDTqw)w9+4sBjmPed6jM2 zA!B=X>aDID2m8KQ-!yGGJB70ol-EOV?8DOU%w5l|t#_@Um*1wCYAWcXvLUJ*q0^&s zeWMzmm-ZKtYmBN#Wl?Q^9l>hP z`9>9~7jx$_+WZTCp%C`1|EW5Q&1$t-Y)V+ytRfcmp@N=`QZU8LJSLn;c}oRnH}m(>4PYBa@i)mJc5dl zQdHx(bO=?cynI|DP5^PPJxR>9>&)cgw6a@K!NDv>4V7gnN2Ml z$zll-u?2}PU7iaidQUBEr7E8QRZpf&Eo0LsT|;M=npFcToCdjyRvdznJRHKAxz7Ip D3rvc- literal 0 HcmV?d00001 diff --git a/wps/cabbiev2/volume-360x400x16.bmp b/wps/cabbiev2/volume-360x400x16.bmp new file mode 100644 index 0000000000000000000000000000000000000000..7d8b8e40e8bcc135be48631e332213bd28bed264 GIT binary patch literal 44134 zcmeI5d0Z6bAIHBdAg~B2q`>2SgtsE4BKN)Aa$kxF3L=7{ZOf)ojS@TpW89xrJ=;3_nJKD~SKM#CHer-EJ=JAYn)3y-S+I;r_OH z!pr%YMebqMB&?PM9w2_-lA*ha?=BN-Hwmwm_b%neqgEobb?BDm8vLba#81=<$8SFw zhJRv{Y1m#8aZKL3Of3rjU0c`wF23)2MEpplFQB3+K#Wmr`5hn;$K`z{@&}^PBeQpH zfw<5(*RK1l+PcM1Ecd&CKS)gk03qPXDHf4Xk1 z>UfmQ&e{3tg&vA?B;tJ6$kUMp7qX{a(o5dSXNlsX(}3r7Y*C-XY+QP@4%*P+aL0`D@hJ%Y`%R3uj)VGz+)& zMYHRh4CSuUJF9+h=0y@+=QQv|z1q_EU|YG%kheTzu8_zp-l>;YpVdLmB zbctu|RT6nEaMbk|m)x2A-rYIx-Nh~2Ks%)1I*Gm6%6qmUtr&Pt%Z}0QqE3>SKYAtJ zn7rujYaiWz{p0(%rG+*svhWWQd#lx;IR>_2;Az-rZxi%6w%kE+Ys8GZOV>SEww}`R z&yXTIT88+)eA)(OWun4M0s_a`lC+_dNM z<~@%yravI@51f4#7+brs=i|GoXZ#Hk(~vx+ar55C*)tkRLIb{;8C$Oi=i9maw~Iba z;u?qLJ&c*~kR&|BafJx=icm!hxrbZWJ`&$Z6c0(_Bj;yc6QNR(s*u^b_%8EIxKENE zJ3sTfNR^6Jjbra(kEEN4WvTxPf9M#Ew=eY6L`PYgTwB1gG#S zAQAlHHEUQb436NHPY4VQP-;K`*dsTA?)_ozYS{22eEl=5I}9ri!Uy}|gYS5>A3i+5 zs}%=e#X(-J{2q8!4j(n?;|kfvpCG<~$$v`+2u*|8t6k>a}lR&0$Cp;5o`bZEOKw>x(wRx?1Xmvt$=6-Ue@&OpCX} z+8RjJ&U2VNcIyYzmcrUvSbZ3l@1p{I%LH1o8`d9zG~IcQGCpTl$e#(Ts$hLBynhgu z?uB>uh$*b_^P`Y4iAjfE23}uMOJHR=Y&Z@pE8*RPu>62HdiMZ)aU3!y>lKdL!T8ck zD1a5;!^V^FNi}?M2>x4PtlqDHO(!ACK+ePtutf_<91EXR!RAx2`UtGR%bzi-hA&S; z_EdHY#@M!YkU0_7AERnra|}K{V!x_ZzWS)XvRPFN-<*XU(RdF1oaD|>Jf8|}^BITr zC%m_wRqVN-mpnKA3|r5`i06#S5#PD(yTkm?VB4>->5Tj4GZA|)ChY%BN45X*ENs6B zxik3cHohGD7N6F@_Dgn~&JEgiF|E8Vt^9XN6}YWSuc&J>l)FlAMO~*Y=V9k>Fv@g1 zhk9c6a@nNC7#eK3FLzWeH7 z-*xIO#f*=k3i$ju?7Pu=*R|2dZqGb@Z`SF1xTS?Q%KN|#*nblW%*2_PC-34Wo4+1g zw%L6%ruz26U;cXaJf%g=8eDM;4&H(>bC@&CX{43H&dc!KpMA=2FFgNX>7@onepPp% z{0rnk*Zp^1IM=Y^%0tx3D-VNf?!lpZP-H2dLxt$zB%8YivtxJQ zUf#)uPp>{&d-YLx?O#y&7mS<7ehwC~GbX~mYf%0msJ3Bk{bR+k1~~ixiY?A_s9f~( zgH5NPvZ4Fo#=$={LUkiGuCR`Wn+Gi4162+1eIwL7l$BTs&!LiIV=J5b4)vlx`bajx zisGXGa5$nZzacBLHl9NT*Vh-iSOqu1{#xs{@PwO6Eo?A8i*u9QX%LYCkq3BfL%+8W$a=SllG%IS9*8Dm(+?a-p+<`C_Nfcy5BD>xe9s;zvPe zYI39~4AO_Jv=4alEB%RQqQ(u<+{0P}{W zZ0+ydBx}L4kb+WLo|)qzV?6Y*Ok5Zqi<<<_SsF36j8^;X638fl9s@0sFS^lYZX%oZ zPVd~(=)y8o$D9d}Il;1V(a|9{DV+})rR{Stw27TZltNZ1Jmqb{d@)Vq2mjc2&MbW* ztJFQaDK0OohzkR3X%Bue7#gIPLUtK=4Pt_}xFM54pTX3NE62Lxq7#FCk@O7G zZ4Vb$zYCU{4W21LSzCRk!tZZdC!7DU3Z61HJ_Zh|)haj}Tj z64P^&SHBWOBn&HI8|L69uW!|YNElYaHcZb=-rOmKNElYaHq63J-u_k)kua=;ZJ2|b zEZeUIk+5+IW1F6vyjL!SNVw1hOU%MeKCIG$NElYaHcZY<99PwH$%t@?S-8o7EoZo7 zM7YE}++^cvd3;{S)B-La;VUr@H(6bcJgAz4*VnS{*dyOHKgiTCnTWoGJcby@Wgo{zc<|Z$Hu0JJV6BF7N zpPS6zWC$f;6BF7No0}k2ViORU*H^HS`G@=l_ z3QfRG)*S&wjv=6g4NcG%o15V3D|qR_S{1lVSB_1T^41$+P@?8F5jVj*uyATK-fI(| zXA_g#c6gpJCE?7gL@}R?K-Nn5;i?CJ2#oJoca)ok{Tu9 z?&=6i)DAO{n~Ylk!{Sup!VVEj^qFr`@~WvQiE-Ry`clB@O1Si&(9$Ga2x35qe)CPn z%%YY>#hbd2A8<*BxFvedH*s=-K0~NQZc(ABRDfLSVSI_9+ypyzxVRwxV`BQOkDFkB z9q+-GxQUiGFFv=#P53gSrCgeCq9s8BZfU-WKyrj!(tSEY*%9zb_vr{EN600uZz7Z( zJ|A{Ur1eesk|R_utqUuZ9X_A5E-YVWw3PF&3p*%SNl9o{!roexn@oMHnUc_~guUg0 z615UFAfn^0PTXYrI}kJ+{F}bxhK)-YTSQ92t%{$U3=D*!k<_>v$FGPbxM;(qCED)O zaco7cFCCT&3jD+$v4nMT6TGb8YkhFI#7zwPJ{^ghXu0|dxTX0f0?ETS$q{l%^G$@Z!{?LcoA6~uOZor7 zd=tC|dvpfRE-K+Jo0YI_GjbFBKz&dk^$k-Axe$%tTbWKxDpAfZVG|SDR-+``T^&J* z+F`uh1iy~6T?Yyf<2UW#EkV}DP4Kf#(oNzf>JwOWoo^y>lcotQ(tH!WZ{d;d)6s9y z6H(VUk+_ML4vBzU;wA#g5pqe~L?}A~K8c$MBuB_4aTB5J2>2v!B9I&*m&8qkvcu<- OxCviow3J&vH~AlZS+(H+ literal 0 HcmV?d00001 diff --git a/wps/cabbiev2/wpsbackdrop-360x400x16.bmp b/wps/cabbiev2/wpsbackdrop-360x400x16.bmp new file mode 100644 index 0000000000000000000000000000000000000000..aa7399bd53be9616a7ac41860e9e33f06ae7d2f8 GIT binary patch literal 432054 zcmeFa_qJulvGs{7Z!iT23Gc1A5=I6JC9PLvIUMAo_}5!MYdk*-^s{pR`ZV z@J}%<-2dLzj=&u;|Bbvmr2h?`|AkPc{_m6(e#J4w`4fq1&F{mMfi=J56Mjt7xE!~g z#lG=xVobHeHn^asyT2j8p0Xh>&sORJ@1?G|McA5$5Q(eATzz-scg<-buhiOMxe_qG zz*`wMEN%6oo{l4|r5F3ItD}v}#cifeFwu&&?KZ2-il6w&x zb5oCHW~BxLu)rNO2~4)Ufi7>tHq5253DH#)81B7L3F`RpZg=trj=ro9J z1NXL{u$>7TCga>gxiGD)Iaa@0f^^x*;-u~Ab0gplpe^phX*kNQ+nIgiL-x>;vj8>#irCeDaB3|N8jx z;|dzrwqfehnl`{rK@43Rq9qbAl*_&eNMpaP!bt5j96~@27bthDdhP2dOwr;;dcItFH53u zbUbnDw3c-yGbL^#rbj?Z{2WbTH4a;riXw~A6EZSH)iD*eFwoL7xXngefJr+8JO;NR zfw3k-JhUrinu#JBu+PcWhK;9EWc(o(KzmjI&_w*fW{cA$jD6&T%Zh8jdNET2h>mkgv#t&=l#FbN7# z$qH?Ta9e~mq~!>r=xS)HOKRsDS)G+cUDc+V0xQ1h#2$lTS6_2Ab(Q5y_UfA^DYi{# zonT>CyTMIps0GEcG?z+5N4x=vU*Z!F#N{f#n`-`0-=6{qnZQ9)0wWfBfUw zvu9s;;q|w7$&=R~Idb&6>#i#rXKzuF64R1# z^);G7bQ)H|Bcv=nz#5)aZsHlh%roSZz5uO>zDVpdh~P|K1=Pq& zdw>cdj55)=9`IqJGW2V&x$eMq2M+MZsasl!*Is+AHH8L!D`6Nksw%msJyUHe$wH=J zcWPyJnR`sbIe6&MFK_$he?0l*nV0zAbI(8j?C*Z}^wUrO;SYa!;e{7oeDOv7oz_0` zBE71zoId^g-~axx#~!=ww%ZOKJm>@-7bEmVr9mO{x(3N_HP$qGGA%|orovGU87J;2 z+I@=~LXu!|D+%YcKCFo<8Fsb+t%WR>&@K?(taMs+;+e?|GjZ`mWO^GV;P)ry2 zq^<U5YqYNl1Gv`L)0`IOe3R-e}9OJ~lU z(d+w}m$V+AdFGi1AACS>8MJ$#kqf5MqhKJ&DMH<>-q#~9$GLG6Zt+ARAVr+lTz&M& z(Yx=y=h@#q`;yCZ&pj_Ozx&;2d;JZMij`4qC&*tKvJ- zX(YsCea{1(ks9m8*a>Z!Lx4IGRY`hKJ@VFo%*usS*T(o>h1I#jR@32J#AynxR7Z6p zvIt{xaAC}2B{LfMMgUE5l)*iRW25?ig{~_|T2=f`?_0n&d4icJEMB%6rU?nHaG&T@ zDi&4ZCECUG$kA2W<0=+&)f^~~LCZBk%5e2nH{Ni=!w)}v`tTFtl3ZKw3nH3XMbI&r2AhJHhrl*=;{pwfR z9Nv8M&6i((S*f#Wuw7`wNR^2uCR^Q)(YuPa6N%URnqU9=*Lv%6t&}i@o(m*X=+m8VGQegwfFoH7DFMDw!W>Gn;}s1;_I0 z%2#QhT#Um5Y_#EuZcOp1+rb+`gOojEt_#VS$JXl6*fUeDl_ClmXJ%EI=5m)seem2T zt}9r{I!Q%ormFO0bW~#N=y8Z=j6Fiqt3sUnkmkOu9cP-z0|zu*)y8_XgLLTvkB4FvMC7S|}YZ$dsg- zE|^u+No`a2>a5dc%5vI|JeMIWtrFjtQlp^=kzfbzP{&v9cs8I-RGLC`M5x0)fsPH7 zYvx*GwYtjfdEGUX$EV4)HE!C@(*1yI>}^D5vlQB=1_!s(bEk)+=&8-wj3&Z3jcH*C zPY1PuzC9-P^;wW1>IUba3nX2v*{ogf*wd`*ApAtzB!D)JC!ToX6&F3~>$N;@3g)eW zw~*iI-NBhNY#myadc$();6XhbXkEYc)>|*X^0GdB(0Z3$ACHLBuNNzq_3cV+9c#W& zPtp0<@#FX0bI)&o`&+GjZ3`;e6QoT$hBU~iwq&U2T<=<*dro!%bd+6qZ5`5l_{b4) zu37YqAw`L|0$axhspgJmcX$2MTQ`E_!%X?f=(rOMNV~?MiC1J%z+s`LtyWHs2uEeg zcoM!z-v*x*+e0F{y~@J5&#Ks>@Zu@;6O5)LWNgOISpec1zT( zTO!D`X?U*{wxbG)Dm#T4*VWfts|vCA8|T)+wa&KLwCv<@2?joMkhDtq)vKh#GxL)m zE^_OsS-ARYJ~N~Yv+2cInIJ1di#5=%D@J5A3vMw^H>AU~W%NE_Lb=VacMg1rspU)c z$C=mlRpqrp|1&vH@3J2{bm*an9@1{0RhIV!dRgaxDRcIx3RB~s&o2Ojw4FMp{-D!1LnLG||A?|9^qhqaFhpl1?|MT62Fa`fm? zkCa^2fe5+M+D1x|w zDk)(qKzdlkbCq@pBBQPB z6L^~LjQt7!GF_kxdp4Z!hOCMWFPC~w zW}RJez`&IIEN@|aF}mjqqt29s`{>Bx+e}L{hL&S;Hp`q!2=2wi){xO>7_Da~voKd(rB~l)fA{9-CC{3!&3xaz7yhYo8!3aGWF*K~FY?G>j_>mvhg4zFI&cJi85pThOg=;;^OOw^V| zczuX^^7@ni@gGkrP+;vF**fG>5%Qsj9@eibGzf2~nleV|Q%%iGM5aZ04jedm{{#0w z{mj#BUVL5hoW94o{f=K9KXLr%v18i(9(m-E#~*tvj}XE$Ex8_7Uv=$u*WPmLts1gK zOOl4I4NW`Vkvwk%D6sELKU_FexAk0tnciGX9Kk3L&2-($jRnpg{N;tjP+u|}zIg5p zL2{ZhuY+cSM7zbc+B0~fu5AT@F16pY=U03(=?K`ji{sQAOR1StaB$=gX4+i{gpF5S z*GVR`^CHL1nzV=YWz$Hk-2n*i-HqSgVl17jA)~lOuAeDxL$5NdTH= zK*0vweqtQC&g|qS3~Qp3w~(6?w~x7el`C!hOpnW!Av~Mx%B30Bmz159C`Xi`Mm9{C z^`fr`O)vv-w!X-&EBbhYP9~F1+^It8cvi z#_O-YuJx{uEcE2B#|%x5@cKAHpAfwE+G~P&b9j+=1ZU4_#R;r6t2Zloia3A%oLu>C zR=b4Qv=Ql3$7i2?Ms_^|C|nJ#SK(iM?KQo55$x%wpSky*drs=x+iUptmJv#NjfnBP z*5C!ubA~pJ3$I?#XC_LaqqIHt=wsJkf4#%6(YLgEaMC+4PgWKr;hK>H2d~rnm1xsW zsB+=LtJ=Pf9^>^5)M0C%*hEuOkO}MT_UlX03!-H-@ zNp@squZJZ)G_h5v8t3L*Zg`x92@{O!dyPaY^5c?{Vf>2hkNt~lKF-w^qZa$aM<%}lniLu;p zkEt{-nJ`k;wRaBds2s#(HqpVDr6IgTdgIUsm3l;f?e*94X~S9G>z_M+UiG|o2Ce0b z7cc5V&RcK2RZ_H?^n*+GnYZ2&{_I&jnw-&5Z9Qga#R;ZOMjv=;@oE)|NnRE4y?Q|( zXk2*ZWj<}t4wJVh@#?627cRUaT78`=z!Q%@uFoH|CrG9iuvDus7cM$y9;D~+k;D4s zhNMb^H0!AL$%U0@ao8iHE9ZzVAQZDjF6eOO-g^L1N8?hhAC%;iEDGMV^MR z@<(WSl1bZ?iOkhet(jQUKxpwgcX$t?G3{ukLaM81flJTaZPj0l;D9=UPRG!4I3u)< zu{0#1HqRk8^TeiRi>@)lx~DLGB+*}!S8ISP2#6JJz=4gG?jEM$UW|s5v=mN+8Yx&@ zdJe|Z2%S63XQ$cbD(hT0=9K8wywIM<09i zh8u41?!c{5MXjkeNvhtaoqzefGHUlwkv!!+c8vdUXU*AW5<9JeL!|TU%Z2L{o3QN# z>T85s&};?WU`?CYPV&1DZ;$h13gax;h7ld7HW}(Jq1~6;H(*y;#KYW#%{!WGu-Gf? z8;cQgYKDis7%d%7EoqsRyqpz8*q+j!F^_=^(KV(8y5hcJe5OGWR=h(bf67?tt}GS5 z9hd=zn|H9&XhK8-nHtCT*fA>&U<(keti!N$6=f@3luan;w&`B)aqTg$JG6MCl6^z_ zfJ#<$Uc0rl)6hD9+fNtzSVP}R=pT#8p0@*fcc7}Zre&^|X??hwPeSx9tyW(i9)?Ga zD;LqGNQT6SQ_ESOHt16kJv``}9<75KM^D1+8w%9cCw_(KsNb*t3;RZIgW0mYZ}7Y^ zxz<$AGA!E}@>98In{RV@iS7&C!vzUee)`zLJnd>rt=BdL($`O}%+}TUMO!yaV#xOM0`QuUYl|i#YYw zhCav86Uck-y$9gpMI8lJmTi%RA`ecee7dghTdbu+(PYG(nl2qg?m-l|LsBhcVZkxZtuF+2bx|tLLmL)M9wVC)W z-T3+~&@dCk($P(z0Qbt!ePVl}&_nUV4&bQ_&Uwe8xCm*_22Cmo0GsLT4r8Gk17X)r zTo%BKXd?l;c-vBE(o^-9av9=&m}KZyrY{z+p^{0LBUj`)Sv|~ppkApIqt&6su3vEJ z!%V(8)0`nRIyW(6x${s@vzZV8|8+lZ)uXd>u15?vd@vDVe(nDH!Nc_@Pu_CNEx*t& z9&Wwm7q{N}_+xrhIH#9yE$UA{{p|BE^uNzP|LpTmKmGKRPjvW9K-j`{a{P zv=?dp%C9#``b0(lT1_wgT5$EDsA)4X8M{{ZQ9W-w`>fuih)~ zJz!=`ZGBXtJwdyWezl^mNN&0LW<5kHHmVrQnKSu(! zTjshZ-aWUiWb1>d=qo5*jyPNz1Y!i$0uxZ_Skt)yxly!FQ0wg5+g$KeDTqc;D79Fy zoiZ?!mAp|C7uJ<4ZBmO49#!A7zHN2qQpZplyaR-`M2uz-utV4iaZ;e9cAnr0UtmRA z1xR}^^fKS*vl1a(wqq}_6~Ni_o!rDG0_ovhr@JDxa}DBh=AqF95V4{maHBY<5AW9ACL#9 zmC2XE`DRw%fj;u^!&-eGeyB%*Prm%}%WuB<`rF;|&9~ot_0^XjfAXP5nmV zhU@tp(#d^)vrMkhuO{x%Z@-^D^C1`}FItzxva+-ztT+ zAT4^WUwyElZ)Wd*;DHk-Pw)jKyM`tWwOlI_=+6W8zl_t@ta^*2Z;`d(Xy^Il<4^Rt ziXMLQK81b5q7H3E)`fx@?BK7k%eY&e%Mc~Z)+@&i$ z^J^`$)YjFFKK#b+=_OI?CaT;1O|`(MYMQlu#e>`QE9atBVFt2~FQw;S;Vv zC{5|JS^Cm9Dm<1+E*E^8pt8;hSHk-YX-O)RMETbD^=nu$47Kj-sAgL*M>Dqpa}|+j zNIexrSu$F9kKJa^54q?uz?w3*BL!=T>Qfj?R|Vrsa*iQlP5Y(9_lAv~-7iWIHy80) z+$-A*-B%bL%2`@@nxZO4H8c$nfN&Y+ZQ}9`Nb5dP3=^Q@{UBo^Q^4us^qFnNgXX4e zc6aD!UOEwH-MK8ZYp%WiY z_+vdw=(nSKaZfnGl98ud+7$hYQ?KB9=W@dh*XxH^`uan^)=;ddzWeS^V#||;9!1Wb zKc|m0_1jMU$21hpOu9xYLvVkthMzZ%O6uDe-1q?M|P#>fMU?B~l;DsOW9uM?shTcACX=_#H4TayZe4=Lqc^`k`aUq3QRKiK#Qxi58t@kN$ z>nB+HEJbgEM4}x-+mUF+uMb`IPtx=$r5;hFMtH>*PtW~~Ym{r>(6fOyB5iRZ44Xa} zQ3^d*{OXs#)VD?YReXv*T)peAyY<^M_CviP`tXCd-hNBpo;>=J+xj3{WPA`%IYo3+Pv|>TXgL&?mg)?a-XXRVIOI=CH+VD$iS6 zV4(~KApQKUlUkeV=JY#ybP1Y)#uA{DI8XPTsk4!g0$HKxnJTF}EN4UQZVZ96^`}E$ zUYjr$Nu{W6WlLz=!r<)0r2v@>mBjtZy5MB=7-Xt*lPnKpl=kqF?ma+H@>+5FtU&+V zRgdxdk(YkHrS}?IYx>6~`Y&tAd-B9dViRH2&^XzKkiAIHGWypeTDFOz$}hUU)6QrN_AlNm7R|1*obtK&q^?+#BAPDwmTB zMNEyN&e~+!G?{dxWKS&%aj6ZJzkI$4Vb*`JMW0MLU*zy`S6w+NV(ASso?#KaJY)ft zDBD<Elk z(I>3>)<$m@^jU=d%by1h9>{CG^|a<5MXP5XT+5($Rr)SfKSk4fCB0A41I(XPw0r0i zlP|vbl8xa@9%}R_pC50uW61aIpT7N0?~%ZM^VQd1>62f57o$&iB}VV0#Hnp=GWjJp zH(3TJ2~{KrO;h}sgg60LVHhRcBWh30l%d8r&Y{5>;OR^Y;_Z!{ypZcIo(u@*uP!F> zdh6wt$KpGnf6wRI0lMY^B#2u;aB3Ool%bhr@a==+fSymU;XlNr4t=7yBOR^)8Dz;h z5V|3BK$AOE1M!(CZb_hk)*z&-d$az?GgmXq( z#;%YsI~*(go+xrU8DQ%Wn~c#C?Zx7tJt4$0Ma~1)-GBf6 zd}i{|NBYd<&nn-4|Chh~<%hp~PyIoyA5wpCk?Z^KzX$c_Kg+422bIr1|3csIXe;~u z?|-K+akPn9PR%{-=<_A65pxM_ZP!LrAPCKM${Y!AhvVQR-X;D_VOCttUf(1QCV;BH z6kl2X6R+6pw2PKf+qlvwr1uRQO;a~*W6{_(gwEz=)k(LQ+JzJ{3bF z-Klzl*S=A)zR!Uvxb9W%4enN=yL8Vm)3zs(1m_lKeqBgagI81r2xDr(X*-Xi(qdMt z1lV-K;e*GoI*sbH7w{Uf!it)kit1Q%>YnbB94HMvf@wM2akbevz{AntvS2qEl&Xe5 zOc^Ly4^VvzwUA`jzF{-S%mPOZ!8?&n*nIF_Gr|v3(_6~deR(i_E?l7H7x6BH=#`v7k#cYFQK%n z@G{}5{&2*{Em}82HT2%yQW%md6YIkrO?x>*gKGMuq{OfAGdyBNO|4`*Lzh1=%YVrsfD6`l_Eg@pCAd3wiI zw@ZOY;;EO8TA4qpZ{ zn=S^GkZA7NL58bv(crWd7YSm~UUc&3;`)NskO?ax>-ulNzDkOk5{9DLe+BIrFpU*l)4aT`4pf}>p{TLk zft9ka7n1DmAOlK$4M$mvvD0TBWUWvuvj875Wce((gI3pq7QARSWUU+m1nurE_HxjL z=qpuh*!mKb>N>5dv#OQM-JYVJ7V_%PRB1RMS!y;$h8v(%=DLe9!wgLB4)a~GG)90_*%_iD?<+kwosy>2M`l>YuyA#DbNDbqV6xYhp~sXC9;R{$Jl4O zH7`qQ8#fAyS@pA%9%S_Ss(!+zcR+XCp|4YJzx`J}-hR72qS24j^s`v~6jmR7 zc*nS?-^c2=9QuSr{}(F!h^n_T_&Z6IZVYmI_?(Q=DFs8d+LJ3_w!N;&P!0Uem<&U= zJW86mr{R)0=t#s7wulUpC3iid4N%vmb7)15j@+V767dl)PItUz{1B?8|+M7ej+%~ki&1!I%33X{l zjj2xN25BbU2a~FA7t=UJ5(5S0Q&D$R$uVCM1q`uYK0A{rlpxOJwgfj3F{LRBI`IJT zfprC7P}|vB^*b?rDdVD_jp-k?@Z&KRePp4xMf$c^zu(ZmMA5fG`l4AohDhc0`x28! zqM9zEuU%^_k=5NsAwlD-(i+uOlM=;%$l|)ds}+Cu4W@(Hg$ueXxuc%N8I5 zwz}zA2C4O009j1ibAuY%5K`$Kr7G!j6(_n!V+B#_&1D5C$}fA~W`L11m}{FCBV|VA z4nSElm~wkMl08hu2<2K{+Tg1gj=@=jbSe^T;(^;>n{n%Ptmm{ifizPE+2l5ltS($G zUlb9019CcN!H*s#)Kji1k(nt?m&0PitiP1WDW6lVTVm2zrYD5b;ZHsk5iKam z2uqx2!(a@aF~uaAdbtLqV(2KzS%Bz~srLA!|SmY{{i5+4C19I`goGcza0I^wx(?jMc_2A9P^D_HJ(r~n> zK8no9i7lj^rF5QT8pGM_%>0U@Jr{$=fv$ZnUZQgc%8`K$wx^8P z9U0dGtl?%j#Mna4DzzAqVM(JI&NsxG)-)s_Hi_(E%+ zHzoI*SmSno?o8(_^%*eIU5s=RBpL_}ttoVMpV#*lVz(+iA8Ed3z`9wkqbf3*3HOk6 z4k4UwtuDi@83wPi?Rhdh%WEdoGE2ZSKO4&2ADt74Rz_5^Gmjo*1o|dVQ_0KL4HmoG z1eesZV2{F_baYqe;i*srG|0mH097UpZRsc)off_cOS4&q&PiLXhhj@#m$DEBC^Ug#l=rI20?sn zeGGnu;dE`H<|BG%F>x2A_+k{U!#lhB!xtrJ$K;;%Gl7g`V&L+$&OloYkhBG#Txk&B zUbR)YEWT-RxJ*d895Fc|gK=|c+B}9$&Sk4>z$JGjuP+gOBFbJ3(%>JnTOeHw2H`u3 zh70Jh6|%cU-pClXx}|`hlEG=gljj7`c2T19EFe-QKRN;Y4+2K84+4} z6EtFQ6sVZTLZp2G;O?!`!;e4C=5xBn#|Uh-@aZo*Nr_7u->d)~zMV1@S!VG7u>mHR zxE(l{;we6v<0ehHIvQWf9uxp__}spIQSsZ|K5{s!gSw-0WiLN8Yg2n{88|?nbu;ERPkej%S zotxA)ah9%1N+TIya7O}YPFd~E8kA1z@+rprmhIT0SWNJOsSyQV(7j+2gP?@is?4$3 z@yjKUWJ^z}7)1O&df2`?n|0w4sGl4fQ(9}JzRvnT6OqHkXGfLfnI}g^BrI0y5fJIF z)vfy@w%~B}f-MbLN*S4B9j16|v$21RS)yn;g#vgHD}u5*ZQD?w%E5bNW7NqT3`U%I zHQ-xSQCt9`rO7uK0}WhhU3%z>tH@2GnJ3CpDxDZmksNBQcN3MO30Km}Iey2x*Gm-r zMa-S!$_%M0t%^h1v)wlWGQtc1Re4(J((|N@xZtZ?gBfY{XsoO?V;V)KgR{s9@s@zO ze6kpn#62cO`fU?16^p`$1P+{YP?siz#Z;CUW3SO*qKGDK$Nn zk5k_yZri@5uEJI{V5&=TC&tP%Ac^B)T}eG(V7)^H@1w*gOueF{rGg^6{JiOw=9@RI zR&m{-1J`kD`vMy40eU(>9XKS936y&@87-^kg{dJ`yj)EcPSI?ibwG}mhT51;Pk`1y zt+WMpDzRAu=F*|?ngkiUdRR;p&i?sEdA`E^Xf{8h-I`ld0;$ zwjgzw2@c#5(o%Xf(w>wWv_SzoCSgq!9>{?ZqO87JYEx?l+%$x7jW3tmFU$}qyo&U= z8o45%qlCJ+yJLp9tib7l-y9c8amhb?_#(q+hMvM5T2d53(}jDX%)$i`3gHtH5%4gt zKs-S{dohwJjGclI${{Vp0?R^62pg=^mm7KRDX8u!*kTGz^KNRgzOt&9eiB zKqZZQKeXb zbkvpvF~$BUbW;XRQP7gp;WfPkqzdNRF9A-FTynUW--lrJuZ>li7w0ySfHr&F_yjc! zYjX6uBQ94-AvI40AzcfLWE~4`!rU#PUtqODV6gD&PmXpfS5HF26;xSjI1W{SN`p6Y zoW$f1SUPzyyY#ubI`u{JOvGGQYUAl!9myesi2PhY7m4U8^9*?Mb16$``_ZEkB1Tm* zb1me_@)-zeawm|iBorC9O<58?mkSYn*>l~QkWxjn@wA+Rql=Y6WVEtJP+d}e2iegZ zzGCQGbRgt(VSao&_qdY^DubDZAOj!qrMX5KN$vnAEq@+1R36t{Z;uQ<0Zi)y(Fn~o za9TJ(g+85ytqW_UaC@^Cvov_3;K-1~lLDcmnyE9Cn~A|?5!DVJ?j9V|U4dX-O0rXX zemj-;66g>gJYrj$i5n`E!gdMqfX4%!)0-KzT{_61q63<-#$gLhTkv`$%sfD!ozxhk zH?B2OjHWQS6O57QHV!WMJ;#c#6B2U4O8jyYhn~)>zO+vap zB2;tft+e)s zie8ljadqt?m$NPL&Vg-ZND!7TWl3xwtpx;L6Eu9zyKx-|cIs-{q(k|*$e48R`3}XC zG8hJB5U3O;#kS>BKb`XUc5htyS`wjca;xdckoiVer^sfE$5Bp`R^QGzKyQkAVcJ|l zg9N?IqAot=A1=^S_Rt?Ld?&522qeyU9qYXM;xE+LN}-4B5o|m)2U@mn5$o5KAtAGU zW-U|^0IE_vINDmRgkUcoG@HKFLt&H-nK}TirgoR+ng4&}*43B>nIF97 z%Pcrj3tEiW$ZBqyxoKyF&TCdXb1;=7z}Xg4+A_GSqx>s`Zac7cl9ISglN1JbLK1tf zL^E^FI&(7jbf{7bt-)BGwRo*i*U6VEaxF2@0y*9Z(BapF>?@4LwFub=!hATA(OSAn z3p6VXK4R-khf=KIR{TM6Zm)LZ;7c);V-#uTp(=!P9X#lLqc1mE7-91{CbBS5eK@TD zJ8rk5u11R6BP2d0siCb|&|XnQq~ZaygFQZ{r`5FxMp#dR&UtkZxX^9kB01i2-9!vb z2Gde-?gW4qy)MOs!R)ZMD5R5x%YK{ih{A(O%wb<$wI>J>F5KS+1rNbY1KKE>;x08q zs3|#R0bmKtsY@F?2e|PE;|mZ=rbhvEQxYymbqPY3V`(y}?&J_p2iKWPQem?AR#2by z5fw$Kn}_=c2(Cmp6L*zwtjcB0&66Z}tf$mq4TWx{j6xRO)!f)}xdk>f_^6`jw*|l` zIvZoY^vZ?DH!J)GE^f7FG&zx6(zEjcW;yV(siQrP( zLZI-hHq^Iy=a>v7y7R42t~WiERx?>UM|-RtT-{IVAGTQC?g#41K<8P|wTeO=Bcqa_ zfsCqIEV!K>lmAv1OO5#eQ?dyr-buV?5t3q%#*k@oGB13Txm1waZPB@^5|lZ!;frJ( zc5IZikUzy?b9W+HUZvDzSk)~%13D3YtP)S3+xz#GlTQg_@Cx2z@zA1(q zVRJ)H7$Y#mLHs98WQlySb+DY))RKL{%rVL7`BE|^CQ(Sj7>irZK}2}DtxJ6#n9!fQ zl?}JTef{j|`$(?jFxsI}efXf{>wg@`2!A5$mBuD)+;U*5%<_y~G`=T|^r);f;IKyk zZPy6O-N=!dpn58Zvyvo6^%^%m%bJ-0UK2z!BI@jGQ==~lfIS)yl>i)(GQ;KuB2U;z zi5AyBAJCwK*|3Y{{I9vCh$<>FYD%vM_P%#lz_Sb@Wmv;l+a>K1}aK}M`2L!xlDlS@)jIjdXh z)u>xELmxi*E2vq(!Nk*QD+AOsO-ixVC?Gb4`hIQExhTc6Ussrwqok?7K+dY}+~38N zE3A~QmLShH!Jz5)V2iL=24*BtVfS&TT>&{Uu2k+0>c-G*A!^wQoM{n?Ap&+lv&4;9 zO8e3?cTbGdizA~c4Wer33Lg_P);-$f25zEEyy=63c64u&l*ed87*$O|4Y0#ZQAC@m z8p}2#?Jf1LPmEk|#g&xrtK9TUR-r!YsgSig5C~Hqol?WhUTrC!W^#XoM#X_!!*9&$!#iy^f=WoJxQ{7N+8}EPmo&!^4&Kh%6k#5 z8>#@A1H>wIMOi%qK&P#ErU_Uz;5i$yu_w@=SS!FZ9UKmunut!WV5f90o1Mc#iXhez zM7N^d+(L=1QfBoQp(e)Woui3c{V>C_12eTPP`DW2aOP^qOutLJHkbr8zs}^XIy{Ll z`&b2|?NbePMIzcYIW1z+CmlBK6`l3RtpXio8c<;L928wyu-Rw0lMzY79utL3rrch} z*ZNYh3*aV-zdcq~E(M{L(qN|y7So1iOIQ(|xx)9W{R;$9pU>ehfD$NO^QIm%%vT&(8S!8ezBu`u& ztutmWnH)Z7YYCq$Jn`_%qh@fy6Vf|d%^2{j2JI9e>!m=W*BY;TH_KZ1y*^iypnbf4K~dqlT-~TJxBQHPN}#fiBhi`hmIuG zL3m10Nj3*YrSeiSKrodKKYU349ZXeBu67kqSt>)K7)B@@qBg8tKo50AX59FfZRJQh zq_|B{dzv{Lu`s|rzsATP40@===GK}6={}MXdf+8TCy%~x3<8gtV5`zC-k^}$W>79D zqsf{79XfK5s%EZ%=Ej#2Kmxi!oTD(O5r*kxULj2=!*DY~irO~|qC72;Q5VePBMnhW z!AZq4;+9qTP%c>mg|X&f#-_8N$;c^b(Z2iI~R9 zmAgjZM2!Tax>xwhEo;qA2l@JjqPWRr5TP1B*QPE3EHcXALvn;VI6QqVHMXFEr4V<- zwJ1VV$DArioetV0O)f2y!a_5nuWX&H(kCRxR-qs!&j=GJ)U)aPCft=mr%C|AMz~sK z8W5GWW~_R)8=C`qRcoDBPX>!{o@&*7XV!(ba|>obC`@e`%#Tnu`dka5{btq8TbMv} z?2%%qLIRh{AXnt@kqS+R;beA98D9N&p0jcI)=`feO{N$twH3YHYY z?QCWnfa#C6{f(UqkU?dhaf+mh*qW+ymJG&KL(F(LLsu#n0a}k}K&y1r5Kk@iQrvTEW#?j+-Mq=<=k9ccb8f2z< z<_(8S-vCPMAkRl~k^nKDFYS#7)ydp7Z*s#!t|)Lbc|9Q^Tta%nnE;Q5v{nNf%;xDy zaf%RW3_?V8Zad^vu+4T))_?(c6m`xhos`M)e#qv99$~efSW-+E7c7iAMk^x~$kXVI zC}Qlb%&Ueu%Y;J^Ty)d+?M8&9GbWN0ZEj@oPf4x`2T&zpZsdbf0tN4ZlqJ5N<7~b_+m*?4{KgIH^NW7^R6q-!&$*0Nj+$TAdKvwc|0= z#1NW$N7)|mbc@e~PVp0m2`K;G{)8=uS~EdorD1s)SlO2~^QrzCxjN<{Zf8u+8Pg`L zK${zSAR}`4B4x61rFN3Io5W?e2*=m8C#2WV#1ay@X$X_zhFQVx6mEk=Z9T@81IFAe zg{T~vmCYPD2yi9c^gD+zKhPx3v7Am*ih@E>3XoY0g)5-6@$YYy#e=n$fSk%KO*{93sSCOYmqZBk z`cOmbaChy(og^LIU0X|L`{q@LFd_wZ(UPndjx{efwyR}~W>T5RbbcX&^6241NBuHk z(nCi%nIq>lb_l5)%%i9>)e>UwJF5S@dx_Lb=)C?|e?&W0eLrULbTy1Jb|G!YBwazVKAHE-F>568W>~qcr1J+?uqV!ZAEl@sjM-JLkvnag$I6>HHgU4IMIn_vb(qg zHN7IVxS+s$Jmpg&g9%_iW=Jj$UA{22kA&qjk4Y1zqZ8;@xk%@LGLW)Ob$RW!d4&&V zw#cOPZA8-?WTWLW85xAL19QvcB;u&U6e5eSZOs4#71W5~mB$Oi4j$7~Nt@zG_i0hY ziM17prj#mXvbM^~#LP&3&8!icnj`p`+?CF;x9e(`Io#%GY#I z!`=(K@;Rm=aHkYUry`@HM+ITA5WBA|1>v(qvnY&dSR!Fry>1V^lguSZ%I4&}(Htkr zWZY97q6vz<&kNRh@$4yucxgD{4dYoZ- z)v`IqnxM)IYMSjD^zt_KSo9iUQACHKXCBC93R!wi7)GTfxaCij6$J!t1WqnYU5a&3 z#Hpw+MX7Zo$yCgBd7ENlEph3@uD@FCYMIWGbny0JisCgqoDool7O}>!&5`M=t82Y= z$YeT&L0;7;vJwbK4>@9(Q{QBL`z9{2%1F}LTcj$5%_dAbOA^6lVU(%bEb7Hqh@(dj zA3YjAQyKzwir5mCF&^cKq$;lpE*gCZbqbhM*~V15h=`lpj+7jw01?3m5d%ou3^e>u zf-(elJx9`LEbPwUMzt7FXO&yUq0SRVUBL+}8NH_>!8&qK2hV`4_2`sjl%Lr^ILX|? zO;KJId}EM@SX-bMTRSF2mHtAdOT{ zXarcgM}VNF)1H5w5U`5St(ZU0l=1;lXRKON1O1vaB5#Ot>|SbRP!<~gweM$nkhrqCer~Y zXA?Afg4Bp}d)GB;QJAO52uU{Yxx`aKQzvxR5*`--$92R+37u=Y&#j2Ql$C~{Nmh*| zY|?xC7=6*^<6wO`a|>oPNf1!aMzU2(LUFiwEF**{M`mo5cw?#NWG^lOw`Bqbo?JZ; z3FwrY23+69Upj&zwA~&}&65N}1uZgIaUx*3e7}k-}j~K0D0Jkh>Tl*fAso97h!4 zu#j>EB5akSwNSHwch3VEi3L|~Oe!_d?Sjk5aawVojvVW|ZYDoT;0e;Ra*UF!nEeNt zitPA0DLvPCbBwZxb4)vHp6Obli0kUqRYF5Y_w{26Tm<&KDqpk;+mnbUD#G0K2y7|L zKG%g@;Iw_qAp)I^aTG-4>=E>L& zsF9*31Fx~uu@L7>3N1Zn!r`XO4q_-4L`*OiK-ds-lTekauUm~h6WIx2XHHCQK6KCv zHKeK4*Qv`OWB}wsocnmO$J5)`+BewyC_xuC7Txlxb_ZcDi;_4ENeUyn)z@@amr*gs zltMD9NNvZW!BeFs(H0^-fpu8}iB*m!mbv1wc#3Ne!+h+p>tx83XV&XFtx>C+2*DDAJOI&p2D6_bGdh0S^BFTtg=rVcLK{6v~Di31@ScCGcH)8);aF@-+k3vS3 z898#;=OwEbOi~S}yG(FO?Fme6rWgH@C`<13njZo}R*0gAxjLSTo{d6h4wxagXe5Kq znYu=(+s#VVK@4}r`|8XXh;f-%H<&EeTjqsCG({4aB6=K5M^}{5aq&4J69uiS2{La^ zguGDCxo!=q=(*$^Gy`(2>?CwJI_+vQ5_tu)wmU`35U5HZ=@Y7w(bP0a$L+~Z zf|f(RQBtwaUyuM7+#{2Yl0O4Ye!ATD_`B3ZjS%0AP3SPVe5E(SB*n$@(9(JsX-dzb zRhu4F!tIo@Y^^bEj~!8(osEN36=C19o2Y3fTJW*-*cH{6ra|aqFx$!m$k2smYMll< zVJ&#~+$1JngcA-r6g799k$k=a9;U5^jt)#iL#sS>+Y!!v>jWWPE4f=bXBIaP1;>tR zJ!^CD{$RH@9KYZtoryh(4kk^rpvbc;TkRWiRSM!NSfwOk-gRp8;Ta`6 z0;yfZ$~yKwl)bL{EdP#=!}~#LTdG@3sbP~K8wYQ2>~U&Rv7~tDdP3npA>jY0fPV9! ze@(}~)}@u-#QR6Ig7j}(ImZ`(|8URFH^CH{nv5+8K8`IpSA07XTN^@hIJwgvJAUja^{9_BsiRL( z4j(#k{MfCx+;Z=|_dfLS!;e1p*rSw3QXix5`j|>N+w$mRk3OPmq=O!P&9X;P zbk<@Bf)SNOO@!5gYFfLGRJ({R!U<1K(uOi*I_f%D1&0)86HpwT!Shk{g?c1Ky;2jI z>UI#LYhO|j+WGqiU?Q5?XdO}{HLhYAF1wjVUxS#rofso>Z1Jd!ECc2gk2IG-aHdLN zvZ+W5LuUq^K@pWe9yuO*_@TS*y7Q)+ZqjqX;luU$gV!qy|43G*7UC>nHEILVhF~{O z1>SFPxpvg>bolYHG|e$ss`QS);|})aAw`QQ6#nB!kBd=%oY*fW`>~TJPu%{iUq1f$ zZ=!CyYMRY)z?;e6_1T>$Y+bd3UH|gp7=VRZj~nPev&v( z63Fq|YZtnzZ8ix)69VjVOPvdoNSZ@H1a)zAXA=!q->u8DTH+^HC-J&wq&h5QmsH@J=#(@LoOG}ahV`lGh~jz_S-$ksJj zj^$d2Mc82OoD8rmR>?srnld)4Mv&}XYU=4ms;2{FgRJJ&mtTJQ_kZ~PgAYA;^DVcW zIC0|Gkt1H)T9NfCK6^p0ZW&m^-YeYP**6@vvu_aR9YBklu(bmO7F#)zE$g3+gPlQA zFegrF+0GK04P$5DIHnOCK6(B1dKEZ*`m`ok*Y(`Fb7#(+dFjkcDr%(AacP6yu?Qvf zi!Z(CBHLg(SYX$2I7CtZtOWNW2`-0Lt`}X02}>8NTZb#>TDWcvK86hLMdhQAmcKJ+ zBCf&FIdYh#$Wfy)S3bkgK_nZr+9|Q9eFf$q6CaAQC`N(m)RZp%ZK7a+xyV(m7hm*+ zL{=_ot1#tI>}zzm&Jci3j*iFSQph?1@}+me%{{QEKT#X-Wkl#UrEYjK4W1D=(v3fy zOqiw0xXH3Zj=eC|@-$->?(EsK+BdY9yz?JAV&af+dApik>rMHNdz2&-Cjact}uf|>J#EZ_5S znzzu-lVr_pk4(>>eqMC}x&>becaxqe+uC%TFX!5fusC0kvWOc-0-M$u1nr4&qGYSw zGa84PB*R$xn^}m?8eDgEZqEJKLF0gNJd& zY+99JWAW56y3V~J4|lsd2&6sEJ#aT5d7cVjETOOXklNpj>)fLtMa9>tF@u;pP*g9z z@Pc*^Z5pq?{<@xl{`ki~-gn=9dg?fGSg+`;Rdx?=0ksckWvZXjYgvm{OP4B_-(YyR zsKDMg^yqLT%e$gyv2PZBGxa_Y0ZN1#oa`u3W{3>lqhiOU)cQa}uUl`v`KId_lErnF4iXG{~oTIp%_m@v@kOo>qlz!fheEil;tF2jwe;-+Cm~}&*esMejgV;5h#ir#6!U+njHdQ1UO^r3#H`Ku_ zL$^xVPS+hMDSdW(lG%mVmhLUIqjbnEfV8m;ku6q@xEe*MY$&#JfrfB$f$7rWZE<`7 z9JB$yxVi3*8(v9p*?>EN&U=O$y%WI*2F!i3$kbe}h1s;iRfdET;hR?{y)^4d{xy9B z@cJ9iKmYtKx7@<#3$_@l>8;HVPkf`S;A z1KoF41a$tS83RJ|Y^0duCr;gT>bJl7&Bcpv>tQ2L8hNs+hp>Kh%wgvd`pP__0*l@*GxbfU;0xU;HFW%^t_Lohb8Q#Cp$Ty5zy zA+n}hfY`{Ua@L~Vp_yI@WD1L#nelasC9#CZZdsLN?u`!KfYk(LA%v2*uX13x9LVKM zb1AHFPM+3;#9Cpdb{1j!ZiI_e%YuqT)2b#~+G@NI%Yp~+j9Kj{42sZ-);X6wF}aLA zoH>)97!|e7zkL49H{a57d+4EuPMtc%a|MqU+BdwQkDoZE?w7E;?m7Im*^R(+#u zSD?#^XG_uP#Clp$JJ{?qLOBf_4uQZ%htsU8M+~Za$I(+h?R6(lUa#jR?TDA&y`+bY z^XJa#4pPwua{e4u+oS$Cxr@;q3@YWzDNaW5m~f>M3$Ucw z>zk|_H_c|Wf&^C+s!7|_1Di&~Pgji^PRM<)RPx0L$fe>qb+%17%{>Gyq@#;^f<{Os zu%p^gB~3+J>;Tg+U^(bo2Huc&K)dWn*d}h9xFRY%ryef+4j$TbZ@lrwTW`IkM~-{$ zzFQv@ux+p`wMg|0!KSgZZ^)9xt6jmBjV8Mdj~uvJ)4}*5q?fr}y$=}ZT|tK;5`bh_ zYqzM~q<58LC^>OlZ>e0=IIeGlZn)vb-#+z}KKp(9;zd5R(PuXnDG6JGKx?b-;~C#q=8^QOd~d9eQ+ z^PW+w+>}}Q{TSmNDXDZMYZ8ar{7u~%X3Gd#`-VOd)x(CiK8-?S(bl2D_hkAK>3_=q z%)q~O2Ku|uE0O*j%$4H*U-bP;QoXQhacO<&0}idYciwsD*T4QX`-T>6t>D=^Hj7sq zgH}DOd{9}+I@S)bLEFVMg1~7HbvNw8J&C(lE@949d zJU(SaGVUqwT)L#%fA7$yW7|8I(ocwb?eGkp1D4CJBG+^~J~d3~X*Ib%aXE49WTiZQ zm~UX&H{N~sy-Szg)n_#qFJ64_z4t!+@WYQj{`lihK5l?*W>gnNY@$2xL?#Bn_qv048hO%Aiwx9i($xOj&{_ z%xEUi1vGf9oOGsCH=XLo?(ouw^l^s628*{N%;8%|XOS*y@i`7ePr@*hp$c)EZkuIn z-7=BVTGBG#Zd}o9Vm3@9AiQ-n3ZeG(c_p0`xICzdu81bVFRd;geDHzR+S@$cU(_dx zT5H-j^zzLX(W{glp-Z-cldNB@;N>>C0)M3m-fbath1by89bkvZt;aY|>H zIpkhQmED2}jAZh;W*q6dEwMA3$Z}*F;@A{x#Zs6EqT5OP5*krJ!%0I^uacX6PQVt$v^i|D`H{SHrQ%~#diH4){`4^vm{q@&hrF{9- zS6_bh?x^y2Mv3`TwN)mN$kmbnO2%OK z%lPimxK>4}-BGzQbyb^fOSL4OWr7E81I<~u7`xhXN7gejelqZ;BU@szxyEfyFMN`O zz+vWG;A{?LaxOcNpc0TaD`8IEeCpZXJ*(%ezx?GdKm72+pa1;l@AdcHci-uth7NAg zcH?{e)bGBlM&OS4^Y<>qb&)-#4REWN*eCK;31jD!F<1*R1X)#D*s>t0RW-`zq(i^T z_uo-fbl|){#bqqucS}GUTiBO@q%PkxK$5jVof5CfoEh6gIA}wQb}y#PoJg?gUaq;< zKYFC0@I8H8Wiw88f)!vuz!4LjJqpb~sFp*kuW3B+nb-~!%}6~@#1pn#wl=l5T>~pg z6i(VFIF#erf>bO)Wb6L!qD6KL%^`Yn^4?lAA&8O;v z3)U&`0$83|pkC-|y4b|Z?P9DJa#r^o?Y^D|l#?f}*S|WW>Q`DPRMkJh5vqhaI*&Nb zGem4YsWS0Da@#_i&FT3458SV>m9;tk{qKMO+u#27*T4R!hPH~f6LypzWTpORoyQ93C9Q!0G=PCPv&+A&1Qxc~EqzqlgPrO$()u_p+VoSo|906N4UB&KdPTgrkpScz#higvpWlvmF@630> z>(mKg@l_$IeHiwX%b2!^(wNKQ4r;cDb;X+6I55X#Zdb`TO7g z>Wzev{{8Q?W3>w#4j1_X)x0n@6>i9nfBV~yfBW(8f5p+h@YpSf^2m!XJd@NmXTwzS z$><}l{OQy_Y})d)u$Ae*kYlP!UU5c}bL-m^ zCXsfpWa_ta((aTA)=&%+d*|%g8qF}ftYK{8(}djt0L?TO^;}4lYcb5DsVzEZc0E0@ zF6PR=UqdWO^N^%jat-uD&Lli&!H}0z-VgCp)>DbaPFe zzU_52xs_^y(yU(83+%MJ^AzqMd!kmV9!}j_lfoPfc{?1nDK;vLb{Bn+sDJ#Xe@Uu^ zd-4QDN7d_9^R{Bv^z|n%S5BNfsd}=&38|wP@*v{3Cmf8^!RTRYk4c;kq|tWu!2u=5 zk24{}tW{&_5y`yo7?mB~ zLBq18*rctzyRg+WO(pL9N@0SLJPz3>O(0t)N6k!a=VzF@u@P9~tZ|Vc73Q7JH{X1% zZ-(D{|NRTETzKZ0XYRV|?i+8skxv)3V{~C1))MY50YjF&*FVj4S>hW|ISQO5eewnt zcea>X?brW5f9QXK|KI-G zfBX68pY^}&@NXIYV(<#O>DBAkVK1&f5(M?f{|67fwT=nT`|DILDc0BEcjSKeU;j;i z0|IT}zx_^8I`6rMz|u>D1FBq-k%|gI0zm%{C?3ZniTr}2!SDX-@Bi>SeLcSU)1TGV zeAmBr^c(&VP=x;HKRKN_VW+Pw5nccE4gIQ~cw=KpA|la2qFSpuO{`eUPRtHGrXI>a zee)-EszY@3=SU^3o`$Kr)+fiv)gGKp_`SqcT?S1z?vY?0=*MGY)Wh;L!w9%e7dJb7 z#!QzYD$;G2!_7S)0{WAbWdq+`i*e`Zt>_x!C(zeM$asLTJtt}XxDTTS*q;nn}gF*Nkgx6&ilzd4qF(IX@cF)C+LXVr0VLKcID8+RDE zZ@&v7PwM%1*Rsk$mpaKpg*N{GaiPoqGfzAla8*@6)WHADzgM&WcB@n_qx-AHA!TX7 z+WZrUC(WIGgI^0X9JB2y*Wz5QAZvxVoxNu1PlS|bGF7mqPaCrL*SoWfu>5}k{V)GH zFSlPc|21NB{z{SW2EPmJyWzp>yP?raZT zikzeMz_=jP4r7X_VU!M)zh)Y2X-@E=O~6pr^EB%rId_cv?N-c9ckjY`Z^jU9OZ369 zGgw9pR!?l46@&>9`t~{nssZmD$QW_3R4HTU+G-3jx>_xJ2$h2F*$PORyS;f(=AYZD zK!C0y_q)a`Fjwlr{Xu&L3kkKyB#ax6f$jsxI#k=`5c9ABY+cJ~*R}PhX%s-MYx|W4 zPcRX7$vc5|MD>4W)GyZV_Rt+Nt;XJ@E<+q$prcqKf6YPeJh2>O$EE z_xYqc3#2GCnR6AF^{#A>4GB9}aTo>8jycecEHjP;O9mMYLAZyYEF?69iGnmLXu#bf z$}U0tU)*_6Y62-lUj=ttRdieD4M1VR3!!AMsu&t9Vnv;6jgH4Xm4NAxK}zB`AeQ0n75Ew;xwZ-qIt zC|4vEZ`F?ni}2?yrno_wTN+pdAUi=DAHe;*4Xj zP4I%X5GrBKR5N_=?%7%l1)A#XtZ7eU$=)}A{c_ir< z_A`W3==}JY>0oCCI9WFXo9lJJKNnkb=JMf(46wyWxcLa!nyP6gDRcIxJ~J_(L(a|b zw_~-T6)WWE>`?M)HCv1z?%jr|72C3Df9JErGq+WAReE4*XKE8Kh45Mt-27EPg9;d& zhwta_c?_^RkB^tbY$e%iA!0S>VCL^BZ2&u6``cF3z?v#Bgs?z*-5v_MQ~462|qscI+V(QFE@Vu_&A_3Fr)Zzdp97k&<-={;9dq2D^i*t%Y>2)z=BY zjayT?Ss;3Cpd}~uu_~iMx}Cyp#7F>aFM^GUM4!x!;_y{- zzMhj+%)T2>?H!tzp%K1#GJG_i)V&$?$D#Eqb9-Gm%?9bRS1UzXI-DK_bRN42@7L~l zwn4gc_@5e>LO2QCXDl*xqlu~~z4!Ea^^yWL%+y!4ZHe9sNx{Awl>3jaR{$k5hhF7i zH!XNY#<#$+C5A`kVqbVB5n^F%C-O12$Q(jswui4(!Hemkc^Acis@x(7_PT}}U-XN> z6CcmKKXa>>zG4HN!fge(kZwfDvoyv-I3Du{p&U5PxTkc4MLdZjjURa!!eT}Enru!} zOL6aL)N|o^%BTfqC?PjTtz!CzZ|O&?<$_$LC>cXyc3+TKu$iVw*n(XEY6~nZgiPSU z2+EvX(=|KDYY)z9Vk5qSF9xR

hP8_~LMLEPgCGi@5@)rAs#Z?93J1Zc4ah2sw# zS~WgT{y35;=~fs7pc{n;q_BU;EmhAa1v-DI0O?<%p?};Ec(@9hmU5z_i`V^Mh<;(i z&e#rE?~7*8q(;xu(05#Z(wVmVhQGEe)SR(@ZF26ZNv5=f{f8SZ43CzB@5@`lah0#^ zY-Q_SnMW>MR(08NKP-yliH+6&1N|TTAQDoU_gfD!s!!2IK-?j2Fl?IaVS#|&ZIw4M zJZE7PXOiC`2QmjL6qb2|aUl?74B% z!}3GVdU)Hus!zb@#Shq^up|ZF=NpFwMPL1fWa3aE{r?o9^*vW$uX|kP8QOJ#U^!oO zo`DtMb4)bG3er*V-O3a_hu;sdkFk1@hna@2G`>V1{p$&eZcj?160UmNqKsd`FVZdr zJNq~Cz>bpY-d-dd0X>!{H}i>*i=eKRIwf{Wokx&u1;V@Roq?#Q2hbp_*Hs{)JTT+# zwCd*$Q<=nfrmq6K2$^<}F=h9?8<(Al%qgUYHTMN)|wBa2~(* zkQI{hZm^bY)C__qa(Pys8Oxx#X!PJi5xry9pz%H@@}XAkJvk3xjwhM)2FZ^35U;hl z;Hdy2Uc!0CX_%&j-(z3`1p^Nav~^>RdiUHz!mj7%F|NOOLmhS zBvm*Kx5+YX0TZ{6dXk^5X{bYEHV?1-DZ)|vOZ5pV3pjy8D(L1+_^+hf`eAD2y~9Jp z;o2ZxIguL6sHd#zeEwRTa$cMS%9@$4%V}~g@3d;W-`uIU+ubY{{`VE=)jgsXUhWan z=$J3+khPvHQ@|lF)TN+{%h2VVUiX!xK7|_dv`(VrrU|DxGViA1J)a~Pt;D@&YLPjN z4jQMwhhyC-Ql~#{C030-z{)s1a#aM*)la7a&(c1A8?f{8jaL zHEvq2H1A10ax;~gOhWxjENGT&b(S_CF?~e}tB#&!veMe1#Asw(&*D1bi%-b{RiZ!% zycP-nljP@1Qd+$?Abb@l(5h5H)CoMADtK%X7a5=v^MsWswG*MNr_{Na0u!;WfEQs! zfRE4#Kf3o)v+?m~sz3hz59;uhICs?ul$~0t8Q~trDVmuiHvAD&97yj zUr(X+A`7AAy)D?F<#D1VDT`_YE1I?Gi1BQ_J5xf`VCvj_zS*(Yb5RLThW2bYDpH7; z67AG8_UR%`N$SI37Qak9rqsPMih)*Kbu#X?fhw;DK74*N?G+A3(TzKXNa!hfX*PTu zAKP2(#PS*P${uqg$TF;PWDL}_XQmCrL2!q4{AL+E*v@qut|Q&z=rL20-UJ|pL*4V) zc_Qx*R~RSp@TW5Tj_O$C>5lcJq67%c8pjA1ZK&JO1@$cqMitF@*S~m3mmKjI*zlWP zh;H~qz2>l10~Q#vGG7PAVbXc;Iq?N2p(~-M{EvDJYTjQyeqTHleu%sGop5c0scS(| z+}!*#f-jPFaQX~NC`g_uC$dH1;|i~XLEF_r&aIXgKAqRuO;T50Mu}w^y@?NZbW(p* zj|W|qh`f1GR;VwJ@YNHh$2XKJd_>34RBqNdo=WKEY&`gCHw2~Xj;Hh|vQ^i$1XBk8 z?|-K*;<+e>o$``Nm{Hp4F7_5s1IPK)|NJoCh}Y}09h1+-v+aQ}@QBTf5mjFfl~}3C zh*IQcbh2%*`XThR%y0X;7r{M zO!=4f-mpB<7oVRg4!h&lSfUTnww|;b%GnqoqH|5G#eMBgBIaqMj@qAHM zfG{9v9d1T|MFxlgJQy8F#uU207HGO8I!r~3+F_n?G%W##iM+2KZd<%4az=#lF>90J zJPCZeosF&`3{12d>p9`d@xfvn7UL2s^35cqYje=8UGNPK^dh#Qon)cLub8`?Wi_?o z+go!h6<8EzQ3xi!eP(1}1*YJml;Oz<0Xii>mM6GQCG81_9R5Eo#Qh=hSPO7dsx}_F zlP_dq4xwrn-CB=1E#qT2qkG7AZemM%10PmE!v5$Y|`h2 zifHNDhccg25?C#U3ci%<*(uDY-CYB1NRNaa4KORXm~i_F5UhN zBA`lQqL>O$spUi6{UdPOp|qcBj(?Q5mJ{tx?G}`-&-PFvdNgxL_=O?eaxXJpiC4&X z!vjBO$8Xe(`Mj=&`8MJ4F;#&=xbFt1#%&t_jsE1;4Z}G7_)?NOW63nDXdj{Q)OqK< zHOC;R8T$@UvOWxQ4!(3xf127=o5G2=Q;D@bZ3wfwLS*THu#=^9Tid5BmD{t#q|S-Tfk z9v4U_TKe&o0V|CIuhQStI<%Ho^aplgJc+d0-dXCT7zm!e;8dqcRUCFt&G~tmFGH&a zcYAj1aq&3l2%OWp{r*pM)?<6KVLC8w$<74D+eu6E;KR6z%vQd_(&(1<>6JS3S6;OiPhpheRI_M@|G3zt1^Kf#uKrTbA_on zo~W>CstoDYNm+IqzUWeqAWx~EIn6QaKO9{QSp_zauG-AR_J_3j473j-)#%9Y4jM{? zP}hL?;<$w<3u*427g5&1`w^WOz{k@js=HCQ3mBqtPX=v|R2?5d7?mxnj^p@uPm!*z z5~$^7bE2auxG*cx4)^4rc-&iLOm#9E@p#?VvA>CW68Q^tH!$)vtIf}AT}7PwQ?XGh zDV2^J_w0qH5pRZc1Jjc6E@K0oICF)LtVTVe9>XC1x-8+t9Faff-!*3PlN`w6#YNte zQKkqm9r?CknR`PVPwb2t-|Z^jPG!hmd?s>K!E`F0QBVG(P_h4iUmu7m;}f$318 zJ0*uQ4>MQPX)L%59#0w2^&mRZG`Zb76^O?VTo1BI-3(@a%{dbu5jmBP>KWC19|V9% z+>KYcG$R1xc7xf`fqIo&a)EOfD^PAki8WZ3N4h<|Qd&^jRbq{aGwa*VS^Z+{^(vh!8cOEP5D2#Q{a}kuFOp2-D1x&rBd9U9N((9H0{q3 ztxlos{A>(`O-dCflv@8AC{=P*q}BiY=RdZCBec6FFm=sY4yzz!B8KdIdKaQeMNO|U ze0IaoLGC1a<7K|8+YP_^q+*G})wnXNFCV95PNQM$<4n~iK<8Q)tOTcqreXF28*a6! z@&;@psmIR_2T4TPX16%dRkIqDz_Vv@rR0@3A}pr@k{IynC{)vCJ^ST7bog<@$a~}q zJWq~{chw-xq>*y{5*rx0dJp_dM{J2g|8T()BQJr2<6sDE)?@_rB6KO#q@NQQ+{31; zuxHCsXx+L*t)@+AikaEBF#8DQsc9Ad!66p->38p7bG{o{@-{*2FEPt5rN#KGgxpr{ z&B|eQ9gA~4Zu;P>PRY1g2jhM>a@I*dZIf=8$m;9azZbZEB(?oba!#%N;6FyT8S-tH zXu8y{;x-KWq%as$$0nve^4Do)8yvTe4-l?aEz5aaY=S#<83Cd_GYoQGuIjZL4^8lz z*EWB7>yZcVaw@-2spCZ&2Q0(np>Xl%rjVk8R6|{9xE* zsc^AjZYB&>PIGWY4^=HAI{rFk=RiuNQA5MNQVR`15o2m|6!^B9ialAY`${-^A`B>L z86#SPrv5U&H&~!PChG^Lr1O*3-BJ&y#a;@U4+FUASG`Xq88@DzE1>8zZNTS1g+319 z2_M(0Y#53s9qx-}YBR#9x@~WpHoNNRv~M)*G*8@vz=dI5D*dAPQM)HNshOan``lHT z#&QI%ou1Ezw*}Dc&l!A*&Jct3di18?Pkkx7_YBpC=ki_ND>HkX6F0L#3qj~#@Pw?n z%BN~IwkAx$@R;mj{HT2Z0e`us5uB*- zSw@%RnGIPa$)Z<`eiQTe1fE=Cs=Z_gsD+%w>-~bNZ}#O~Fs)RJto4qk>Yc*bl`wQm z*=J>a*$R$S)et2NiFu$QZjH%T@B<6XjTf#J*6Ki2X z>#osvET&H+3Mw0^KN3XCq)e?Gi!#Lg4=6*qsg1|sgc1jsKFo7p2FfGcFy+i5tJ`27 zkpDp3DYlVz#hW$)yA=e;b0g3OL;9&td~qR-z^_M-)FN*ZU};gcw1*HaW+r>M+6)GH zk@P=KQpW;}zicY>f8OI8{xcsY{>C3faKkbhPcQ`d50B{Hs0>_R`=hcSTY)xVu|&pn;j76r?J}%b*dZi^!%4z{2w%FKxx(yN=^JD2{@7TGq%X_ zA(>!rWHS8YpTF4`z;gWOH0E{0mWkGFrl$NyU$(oHrEv#~wW)o%oJ(Pb0dtzB zpd~zooC1D((hB&;q1p%+VdC7}GW-{ja+9HnlI?y96+=axo!_rf`QWn)`Y(;}9~*f* z+l{s1GZ8rKd4lPVn$}e5EJN3{o7N8kK6Flx!U~A2C{__j(_repyKvu$_^}(#f_)h7 z!wLs~_^3FAK2~E*!*=A=k>XT!(xg$;7!;V05XaCrey>fP5^w1@YW}a-_^>f^>x-vb z_T8Wc&)D-&?%|YqTS%FAlYptjo-K63Atl zfptIkSc&S1RioGl#MJ9Ky*!E5#6CEKsDM>*8+0;`)#{_Qtas@X$an8ptUSYw>cT5V42h@-5g%Jcl3<=Vpw9-0+h>@W z!hT>7nZrRwm1H$m0qkSQ0bSpic^?Y|YOvWkH)ac#<8?5D_CXua;Hp$Dl=mW-FO>xd zzToUtn)nOk6>U|-OKrRFIEhzX`M)Z!ior=%ja%67ZpxWy@sXaJzSxcW`fxb$ z5HkBzVD4rY6Em@S7ID2xLEp9@+2|36?=cA47+-7hiCF6(59X~L%Bz8IA@lW;lH0oz zbv&+>d>WHaG_1%hoI&(X{>je8C!G9Ibo3&#R~u z^R0rb6V}Ctc_fxPXjsgYs+1;w$rHGEA_g#2JnPv#GhZ)coShZkbcDCU$84><6Ais7 z5socb)kr>V?;sB2qlUB2YC(lsx6nUIE*Q;|uB4A%cVBEfSIAhBj1v1W>@h?W!T;>~9e)|nw zZNGD+$XfN9$hXs;5Wny1Kh!89Btz73Y={3#LfM^v<3R)5cCO}Cl1;v=>cYCfP+DKk6fM(SBx;ICYFQ-wkI zv>G=f{tHFY=C4J>Jml3Van%K$AGXA2MkXvq5pc?#JLZsuB2`8rf|Sz~PptP8n5FOO zE7BsD0r}XM_?Oe3&@fx6A}Z&cS>N|>vthn{t20E<8a|gqluG9~yAJ{`kK2HpzXWtG zvB9kDnAzPurrXtQrq#Nwg=jIR#6-(BFe7%cO&6eM74rCqL9t#+QAfuHHZNjI|tIU?E-bWIF7M^Qjw5sd8tY6Y2-0|DO~w>c)RXRY&T8u(AXv*!SNA@3HVo!dV4AN7 zq|A6s2ARW~E@m^3eRjh<=8D)f!|}qwTiRmwt*Imc|M4wghFJ#l#=KXah47?2HSocP zacsncf~4gZL8uM`$_$vAkVjF*&foE6T#~ZS5CTtRb{|8jnbOcm6oZd7LLxh~R{;AH zaT0t)sdfQ_Hlf`IF~OkTOCNUBcVbKwB(==F8PWrw{y(aT)JoBN$LvfkP{HB;{ z5ggF9R9&$Lp}(RMBk5+Tjbg(&a6p1@Ip79*7q&%%zKbHkbu^2BQj_clCRCN!(yQrU|8Mz#sH`ml%DcY zAJ94^EVUWgK%NMr##%iR-)riP(AZyar*!e>bW6|DD)f9l6PCd=zK^$Rhx+p{GvZPL3ThfT6tbv)X zM=Zpy$cebj?m$?qN1oh%m6%(0KI^n%s#vd4t0pWXEIYbhL&dlW=pU=G2sS@|whB9- zsJ6_pa; zE7JXWXRbQA?>K$m+IKTgO%A18;}CD&D(|nb!{u%_9%9~IkI^GZWa(Vnx^rd@TtgeF z9m%ceQJUx?Ll~L|PZ?>N!&5xEF9JcQ=rPEKxIX4|wIK`txzFhs71cxabA8HU;jR{- z!n@+ICSl*}{y1ZF@(k_ABGfy%ay`HV{187lCLo!jbq`qAH3-TPKWrV{Z}8M|A3*tF zVntN1m6q7Y=U}Ji3Kd&|stk5D&L`nn&)agBvUmDRS{H$Vc5U3J`Q4t^9wm>!72G)L zul;EXzIeAg-Uh!A4zA>z@4ib16Q&$%UB6qMJbNf4!S6nrBdp30rxuDlIghyPvtt_T zu?!ZGHU-$i*n}pJos8lIJ4%HahsHfvB^;5M3vLq*k~r5>KWbduwzw3#gaqjT{>Urg z{WR6H6~gMDYyya73}$_?Qp7`tiaTQNgJw$3G=oW|}J!r?+4LV2n?FvSvK_54ackVJut1TC0|QFb1M|53bZD z2kJV_o#ANuYvZbv?nzDo)7b%PM`1k$vMq>Z7j``xDY?2Bs654KMIFoGL0Y3X!8hXW z-Bg5i?>WG@h1IcDXKjn8hK6WtXa?@wK@pu~9J`mKQ9n6K6>E+5ZTgGnYe zsr6Xl#EQ^#XFe6qSK7<-8K%e?f37@U3u)W`mudEi_V*d`v~uGzNxn zEan@y{xog+Bu*aax8J+K!ys5w6~4Za(VsWqRsR?X=6-BYVcEqsJ6~Zm4ImVpGiGWo zq{sn(H35CEi$mPLcmLY7p03+r;I~Lh-xUzf$_7t9#_kGB3<0T;ejQdc%jXiT| z`H|QBczEiLIFz`udBih3Ew-TCCCp4$s{&_ay(4kbQATQJ?Jfj-KN9@q4@j{50DJ!o zb9osyHatA{yi}^OIxOBYq3XGJyxJ*=w#P?v-|^7Dp&PEtvpq*BP{fXB->C1i)>EpU z)$&UQFMF$r2Ea1h73uT(_Pg)3B!Bu`O<5bx==LUitlG_{%d=ewlxtd{%uQmlu4X(W z_y+41TT1jJ#R8*X%CH{ij5PosFr!S>IiV}kNFR;c0_X$d9Hi|r5#TQq%(qV(8sGI% z?PG*$GTwObgOZs@R?~Mx(a&a%FmYX5afEc8lxg?fuu<Q)` ziW73#ta8uT$IJBLwbngU*Bg1uXE}17ZDKQAr;7V-BsEFrtF_G_2M?z$Ylj9Mv+R)U z02M@%#xxqQrxnW|-;JP!PyHkD{%)AUix!HXnzpeUrF~5MZYY%ZR3?IDo4t7E9+B$j zOMj{Ha`s7r(T*&EMX_Z${Qajq+M80vkA*08qh95EO7%lQAvH~H8FhohzZNjSg&UB` z_wn44C3Z-PLeEttYnp!l-S^+~XPq^vTP!O7;%bQF0gHm>Qf;yHRv)BicHw1g6j6a) z1N7BqsLqzLh8;GcKtxz$cIsa^UP6d8s%ekaReLXz?6#0uYbbR-Oyk3d`=Glk+V+eZ zfY?;IgrOl4Y5tb+zOCWA{R_<)pi*c(T%Vfm@3+XOcgx|s7zK0(%$`jsWNF*FqSDRS z(dCJj%q6wt)A0oyF;{ZAb$vue@l-N$RaVJDDQ-Jl2#xIUQYoiko0t*tw%Fp@6!9o+ zg^^9o!Bf8F1L@Z48MIg7b(;-I&BE8CMnvPCM1l?QvxCd|Y{|BgP~7T{{zt`A8Y@BS z8m0I3yb{zOn)AkDUxReTJG=pDml0_%2ew=|!eLin_^T?aph|iH(@?h35Nld3tkbaI z3-RnpO%Q!md3w*S)dQI1CLx5kPvEqw4%{8hJTt7gzhw`Wj8dV7545LnvXX&4&czsD zZE#~hnL%RE;DO_z}(XTA`fL)}yDBJd+k0-RFU zoF2c?E&ms&F4R(=%wgGDz};9U!F84ew@UlEg;^EMgU-aU7xtJf;;c?aP!si>Bjte^ z=P*oyE6~OpZdSeS{<)8d*-T2aD)e4Kc!(tZ1T*q$+PdXNJ)YE>Ll9q`R|5Emhvug}|hNB4}EF$x2DeX^Ox7;fL?k0qrfc?t2X?cEt}{km1C% zyJ=A=FPZ8#dkK6S>%<-|_iE_t>_?kIh?+9i)!%(DBX}X>2n&FKQ;~tQ030Q_Muqmh z^{5s01_4gW3+ZCeiXo+~%_!PV(S*N`kdH%>%1JYNDZ9bpnf zafLB|vEc9sHnlA@Gss-PBeVl#-J>sR;_c`=gy_Io;n6^TFC&uLeo5EyG;&NgZS^~jvk6F9z=8cozCY!yDT z9ZbUP-SG}|#3Jbh47F$GdSTpEGPRYxw)wSYn}~kbmxz?YRkKXoZhpl>&~{+L`YR&#CBM zkMPiu1?KjmL@`D$>6K|&a$pl%YBvfN^ZC1xALFbeq_1~0?QbSfW_+XN?i35N*}Nvx5W>V~}a>NrkZsbS4W znCmEa2hhi?5N#R2-Q^3By!J#1`KfWG&MYfG9>Sg!@FpAUzxr-0XYM1PQ?h2>Gqd`h z8mX0lRC^--;ddid;z@%w<{Nk~Zy?yS+=^0_j=L|Kux^L3H8_st>Yt$U+3TW25e??$ zGY8WWeN-3S-0M@Yt>WhI z&mc%&i#m}Se3A=1U&chxw63N(9u#{Uj%B{knJ#0uN<5-wT$X$3MCzFW4%*{OBU?|j zO@s8^zIetCw`$C`7mh4~YwFL2Nu5QD{zTXU%fK754rZn$o)*j4e6Hs4_@9S5qlp=6 zQOC2|Bt_`*)dLN+N5z{U<}_T;_nO{K+*2Kmi#?ezhdsR*YG@8ZbqiEzf{(9NsVv(^ zDb+`7vIO&Wf0!TC(C-L^pKV^YuFLX~rD4zw4Qo2)S>}tE%8pv|uWo#NVfqUfH=NQm zfmU5PchdfKz$JhAK}D+a>i58@SHcVnA5W2uip6?^>DULL zk?L^kUl0ymxGc7QM^xBE*g7|_LL3Qu#>%E!rme}(HKxbBwL8iMGWYQ~2HdR4zCbQ~ z4&+tuLwlU}cbO4GSgX4KFPepMM4PdWx(5d#T|%hcsIt&s^jgvNtoMH9Ez_*;+iHjd zq%Q^`FcU+WER@9E;C2@dhNmm-1%$;#3QhKVJ|rJgbfWI~OH`aR&?)nJjNa1uPGpgF z>2e&p0*jb#s|(U5Fm)YHVPYRdftx8DL3@h;Mua_RQ~ntl{A?XNMjL{8#=0eSSH-*d z!Cz9q>0H=`=P`8*R`$9SW3wSENj387ZVWw#(9uhdse!U$-Vshiw9ts?Vfp}t1Ww<5 zkGA@8w1+Xc==?(Hwqv){5v|4y%HEo=b!%I+hnMGc9niCiS3EBWS^IcpC`ixECnDSN zyag8bgD@x}-Yo~AtqVV{z~_o}e!QODWoopD>YIbbJl?j!Y_*yaD)CnMuo#%s>zZ9bv~^{1EQ~a=+KH?V-rMZPXX1|QF)4zEJ26?1-F+( z^N%LPcKLB!W^U}=ya}@ zC#3p}d4>15>xyLB2wmmFf$mO;qgwRixf0fWSjHv#3xb550OQZz3exmD---81jsCQj zd*ShgPcN(#u-r;b$_0}2XVlQ6{z6#fM3qRn7h+gFXisXV2;UTR3!yL>WF1QeQJxpv z(p%!lOOV=3bcOgM6ZiDs0&k;BX9vBgi;ehjpRe*8DPgD zViU@zUkdnkD@C{&mqS(94qvdEO+cJ(=8hk8K_`+D6n%3!JVt-Iv zg2M!whQTl{%XkRtnj$%OkUSP$p{ajt`G7-BvzB+h(}}TI`V2YUWVS=XH9z^oD3EHC z5XRRCGl1B9ETCP1L|vgV`|DyQy0HEDu?BcON1lBeLoYp66?F3J?*>1&z|cH$JzzMs zG8SjoerVw_(r#tO%>s7GR6zxN+f)&)be}CHSq2W<8QZY+lfNW<{F82O%29I2g^W!& zc|V@Nx;DkIsB3XYWC8(gM_CxcMl1z>x*ekkK%JGPLFl$Xg}~;lSf%HB#;qr8I#nddr1S+kUOoPgO=|!6v&gCzipvpFP&Ay=VI${=Yb2>`MeA*qD5b zY3jg)SPG|#u0iWp)QF?R9GAA`|Eg2KLBLJFe+C4#QpuW@=tFNaPQB3`2lm$-gPLqkHMqwcD(9r>s^`;^;LmIUdw5<}&$AcJcT{*|^x|Mf2# z^WfslqjHg;Kj6$&`YN}IPC{A{t}zWiY0ctyh4onZBlkpDa%NS4)%A*IJ5+h2=Jd{F zsiRl1w~{Rgq3&5A<=1OX0&ee20z_!HU|vtx!<-Q&7+MQPvl4SW8va!&m_>buye&Xe zB{kyL?8ypU{TnQ>J=EN5=5#EVg>v<-681>9Y_E^fPFb9N_yB#)dB8k@F(zwXba^!P zaa!gZ+@;<-$bD0k5JbmM|*7jpX|WV9}nIcGV0)S>a=Qan}0nyP#R zx=iuqmI1q|TE7HSfF@RV< zd4_5s@I9%n&&gZ@G(JfK!&E*X9->j^1~$967YabhjYa84^%uklK*#OzGgW+FVGRR6>}9;#cQRwH{P|q! zBSskjnsF(3vCYk_AKVo`{P-934EfPhDk9gQtPPJy_!~}?-MFZc>^+eyo5ciFY|A$z zkcdL9+PxeuNz6aUz=Ldyfmbz$-g#x*UGS=S@$0vP@szQ9sv7Is+bsSlx;DXRm12|@ zX%}lJepELs>d%k&)Y&O@0%bFwAJl}s&u@cf_kt^Za;TgMoUiPHt;p8E?)7~!J^NF-PEMV@S4THYFgvcJX2fz;11WnQmaayzKtcq z?|IdzMQ@MVIwV*MPni#hxfJScviIYs(?Qv z-1{V-fKW`FVf3`aY;eF2?x!H5N6q-pbf`XKn#Jy0{WQUPV$mCYR{tBWwB@5r7vi~ICMkR{kbc?P(h2FjmPo}>mo1R8*--Qr7liPgKGrYk0dYr3{t&X zW6P~ule$BoRvb5S15^YZ^@C-0p%zi+jPC|NHPaxM=k1wWY z;L42|aZ0GUK7v=IE|$@>BGYt4!4FT99@tKya#iSEQ%8 zmo&W>Zk`osU}8Z!Fg&4@+X@SG$B3Djk4^z5^skD@HIqBgwt*>!^y#?v45UZ8v?*T7 z=avXiHzD%ZoX%VPpsE$dF(a6Ah@(1*&WY=v^kHBhwHcW#`Cv18ByKsYUJdi87i{v6 zh)be1KbWuV%b|y47??Ph1fG&9L6HX66e#}b#~*)s@&BXFF!Wso+YwMoEpz1 z3+q(~w#~Hry{=$X((%!j0G#YecwyAWPh$K?nKuq>Vl)r&{))HqX_|#4X9bWgB@(nX zSMtj?b=^zd1wX(PdJRSIWki9eEv!Ik{GTGwer;S53ZJ8A4-<63V8vznx;{S6sRtsE zF2JuwhH8}c!IVbA$Q&;K^`7dv&V4IA8xMGdue)Vy$878zMi8-8_Py!_AG1*;F&NNY z**V^Eyk5_SLk!0`eT}?tuZrxSw{V2rLJU4Fby%tFi5Bx{Y=O^)Ginby3lhcnYye6; z2h2lr-)`b>i+V<0yAQROeM`&3_Qs81gvhSjj~@mjJ4#AL$V;$>cvuVxyN!a0X~JND zkkN?aJc$zKv7^?eK^GPrrLE`fG%XtR8elwyNqHW0oGMU4+kwDXmLXi8+cw+<)KKjrc8snX$h8ewCIG_>^#tOP?_R}-!gw`D7+POR55e&0t||a9 z=Gt^#LlB+hu5yEwe5rNHFFuYm-}4eWOlIfuZ1}OY zWR>&$Jm;6fr;X!Lcp^7AXD>%JbiOXdlTw$`Y+QLC?+0`|6@6Rn85ZB=pb}r zFHxh#YaSsg@dCHX1fU^u+joPB>n@WtP~Kj0F5`Lv8Z=C~`&-=<1dBV zuHeJvB*N4&&nUE1WGK@;5kB4wlIVQA+u~OhmLr*|qusK`W_?QstjRW?;TLEFCsBf=Q9DgG64l z<^~}zPd?tFcE1`NsAFldVmM<-J)enUn(?lbur~l^Vs7-u-lcx!0B)SY1#D@yOm-2K zxn1CYA$ru#4{bk9Rdb$s8|qq$j0`^dNIx)C#qhIcEng+bP-}2c zz*wh}NA@Ab-bFOzM4?ck4utn!dTK%d0f{vcAy9~k4tB(T(tglS*&}S2NL&nDUIK@r zkRgb02U-OeDs#+7bo+dfIrYcTKfS_fs%nKv>(v&@HS1OgX}8aPNz5cR_bf+S@-&Cd z6PLxBlN`P*_*dSVHfy4sdEP!I4rzchA1IJHE*y#HL7BSiq0HS5OuBMu*N{rxAa}RJ z#qd%9E}rA3Nf~kzVX@36%i*rYu-!l#%I;@9jhW4i1q03ADQa%k<{I-8 zf;o?p?WJ#*?9^(NL_ApEd}i5Y&m`%9ruD({S~(1UqS1_84U%ffubGML5lN`+TuPQk z*fHq0f<$1jzHW1vBI**eX9I;W{5GNUm?@8vUIy!Pe$4QD%Vj5pW@evXHYjtY;51KH z=akZ0g=&i~6tgUnCM|q7vIf|Iw<&xK-KyV$N49lSwZaG1-e+G_ES@f=u-FnB zet-~sws__UsH5*PgX3AHX5&f|B7^QHk)xbZ-Q&c`%u;0ymf#Mlgxjb|LWB%R^0wgK zg#a_KWHBkXWO-|7SVgD!j8bLs<2HZxg2WbatQNE{CFeDx6VOyv%*2Rg9F!RWxEVc- ziDSQPrLxg>;ENP&qR-3AM%H1vMyoz$rMmKJGasfoO?!~u%LwXLcE0og-N^f?l@7lL znkq539levsMUJuS9_2V*S;$YYi=kL$bdN6Ipy$nx@7z)Ls(X}E&?HLZ_BhLMmU+P% zc@yj>xF^#^>_#HVubJc6=?y1E5IwVZRLl&HSJ@{NSqj{A`?8Ps@(vhgv9Y-ho(w)c zL^~A9Uu)4VzE$Id>m4#&0XGr`a7H+sK%mEpX)&wEp4lyCd`A58^H0BIso<{Yo`Ii+*;J0a_XORL8A40uKDL{X)jnEFfJ|kGQ8=caI1s0)*z3GI4i~472jW z{-VJkMS4DNGMmTnJd4sL|Fg2C?@iP?GS+}74L!R4_&kYJmGoOFHI=L**O+KpWr0hf zP*e-zFBoIub#)o@K_7M8)u9zrRYT-x$UioR14O)OFX>rTC=hfW)EqmNGRTjaxVO*% z_DhTN#zA80pm*ij#6mV#@2dtcJr65uW>-RLn2)Z`ft_VzXPp(Wh|Mv-7TO|(1g#HiHVKK>D)^lEixTu(bU@!R9H>oV z$S-9dK-P0QDd8G9&(C32^ER>V=x#hIF<#CjAKMJOSe5vo{KQbkhPBqfA_l;*XO?W3Ak!bzoc0M~ zqo{K;BgMa{ds4HS(??CefW&t zZd^50$7slhU@67MyU0i#DmQ3D+FQfGiZwjhC)9G~ywy$^^CaTf0#)c3 z?zO7mF69mIOpVJG`0}{-*mNt~oky-d8f~p6z^@9bPV3@`NKl)KTr0JNi<<{d+g*cG zcND7dVZ+3Sh0sk^w#*i!z4cqQobFc^zK=r|RUw3ew=SUVxYgVi%l+#OHy~7fJoQJx zQ?8uTWwtVT2u*Eqc(gfb3Y*~-5%gMwsivW14H)uL@nM62izT>*(J{gs^f)9NFsXSf z4^B8X(QBR=FQ+lCU@j9^-4BxGfWhj1mYgF0<~6#iAT;?h7C zaM7Czf0a85lkpM=odPwsn~Sf&)v2>10qTb^9e0<4-Yk&P9>S{E7jY*s=qg_rdP-85 z9Ak@`&3-K^RVs{PWEZJonRJD@_-=Hyz5bamLIrG`5HjSVs}^^2gdno0dD$A531rQA zGSUxDxG|~}?U2Buf4aiO2pQw$Qt5>l%Z1KjBdcLTDcV}ldz#7~)^2yQs|B60ZdGrU z0?uID`=)ElddqqsG}O!-7&>fH>6g&44i&by9$?UtTKmGdiPaHX~CIT61jTX`+gbF*tl#h}Oe%)TNe66xq z-r}G|*NnYxI36R zg-*P_8>y3&*TpF~`%A?%06S7R2FQEFYAK*G%9_hkYWU zgbEvq_O4YyOrXz;nX9;1#2Nujp+zV=agbFn>^wV-P(LxQ@NVe6SZjfZ+Qx8i9d3Uc2FSJON+SL1devq(i#RC_Afo4w=Umj_=k3m{ zSAeeI?j9T9+bi`1evxgQLov`tG4gGG37PWVTPT$pd&ZriFOlZ@$~pyLEdg)havZS- z;V2xEO8Z!huKMkvSV~%KqSqlr`A9q3){b5l@EVF5)x__1ruV zy7#71S$HO)y0xBqCHih#TI0XzAJK+TTjikx^&Ibi`}G&$fMy;*-CnIfD@_KAPY6Y(koJrFcB-=I9at(ic{qs-bZY;Y#4{do)JB`tqX z>&|;mT3Gv&7#-nkm|442?{{UDHwZFzH|BLEpqpVD_5SP6>`?ZxhCmsdT3Bk_#H%r+zmHV-UJ2q3)Is0~JeQbjlOo0ni%0w-ppED4Bw;4l79fDDst)=V~ zF30hr*QrhU8nWDE{#fb-94JDW5i>T>8D4&Rj(LzN2H;d524x8i*>Gea~}!Y zc)5fT=(TF|9ei9^L;*MRO{Ew;H8xCZ(%#e6g5yr^N|p9ls4{kLj+EoZn!}1^D?P#m zbdS33*0gmm=(ZPA*Lum)(7?xPP%fCU2QxtL1@Z@h$@5J%C#O z{QVcYgE;9>3Dvpj>2#gcxfAen@>Vf}DZN#Qipv@aiCd_2^wf|rPvd^Q3OZ5I#+X$! znK2~H_m*rmMO$a(8!(-P{`U8Ic1&C}Q`%Z}5;!er+6tZ&KtFHd7RTQ;^DHAsF+@r< z-fV-eD+gfsLI2MDqwFDEV%1xe;`anRWcIc=OZ~Ey{zd#S>Cw9MrP0NIM9yJJ9&0tf zr@WKUvjpyRx7+gUW*777q@X@)cxv0vf+Muwt1|f=Y|DvO&BrmrTw5~dww27CL)H@M z8Zp?B7xPhf>8lc1H1wmTk{2nIaK60?B3`?%H-__SsXVXoYUWe8i)afuc**^!5MzY9E(V04u`HxYyz z4{DDMq)7dpD>|?{R0}A+ezeW5Vdjb-<}p&Rb7LIPb1wx_T6roSu4tLMWQe927L+bZEF*f0`7(Z8Fv$Zz4l8W=px6 zzbaI!#RXT67hUy!nSId^d{-%pnzI!tv^*09xe=WP%xR)z;<;eyNr^kDma_+0`Z6Ql z?&wvK8Btaz1$~9M-6}oO?Ajz7fT8PpiJ5>)c!aG(CkS?bVNS|B1b$T-_8D+)=yESc z_woR5Yvv-tReb|T0y`e9#*9E|U|hDMgqR5!@s@x*(|sPECm*|dBBpz27K?W^DIgADbH9~>p zn@!+GjxugMPVAee>)5t*sa>aG0UOUe%DK-5uN-|6s6;RHRgOGc-d#`N0ay0QT~{V! zk?qL@6!vL>2-sFU4CFF)NCKsT8`FG8J+u$PNI29gnc>!pKe|hr)%c$KcJ~br*4GO+miaMjdaWrvJHN}syJaQm4my~O#9vgra%M9g zY6esl^nq3kNZN0=9?gq?#D_}CUltXIIk`!o<;@(;qYmA;TLcOH!W){~{8!`yEnuRp zOf|HOj5YNm8d0U<>-SQtmfZaMWV({Je;v=IBg9J8u1Mlz^3XWxGK?O8@TQ zhmi+jYo71B!EamYHjM;U&Hb{7Wp@qW#+uhmqA5SuPAaHe(t&uzZr^3Ad?^U-}c>jZS#At|9KtLEm zrlBhV=`N6;hASxYdq6E!e##~{`t1j(V0n~0d80$BtM;f8&$-)qDVCexA)9@^8?)ah zQ5qj3ot@wMv(Sh8*#k$FOV&mi<`3~3brFgLtLs&*ei;|?Ju?Cpf8G2+9M7w~p@$eg zb376oJ*mRsaihY`i6bC1U++Q7Ta(I^Bkqqkk3H>cf@R+1^U;_T+TWlXCwn~g!#rv# z?vIcMbGd&JYE?7mcplD-GHT18$<)18oD9Gj3S`qUd}b4U(Lb;+7CbiJAC&2dImE?v zLvy4Y(Pp2@lE<i(+W|rNhyEt2N^h{fh8B6|83Ac4H#N?c-#RUunyZEn7#k~1rS(3MUV-Cv{h5xJ z)WI>HsGI*n9#C#k%{CMPmVX%j(iIZ!F^%dN;xt^#iE_wAr`yZGr>>D^{!_P<% ziFz!aa`Ow3hX0`dW2L0c+yo*>QTM#-eiUi|GIHx8KyE@gvQlU<%p~LC@Kp zC!XP+4%2{y=$Yu9aQL6po_A|BeSxdBB|jTf!`3n*rq@cT>5(;+xt-fCG+9oOD6vJ} zBxmkOiY(^OJGD9UA@MaKQpaKOgD{(F9C|T{HSzdHETwuj{LIoe1}9 z;RS^ySE!Afsd(O+srce~owJwd#cuFy!m(FK5Vt{h&#DmfYO&{qXBD+Rwv)N5j^%4!wF?QxP^r5mwS4gP4=lXDPr9t>=%+yuk zyV8u+n;`J+Avm)oRY70jDgYay47wr1K?3XprNFoo@F&8r!GT271@4t|V1%{vUa#d? z={1%-HyYrBzC}QIhJu@|(7&%+uwzjGoM~>Bc=CCcHRDADzHMO-61m-Y%-(1ZMLdSH z3OciEo#tj+5hrlyPRbLuUj1yq(k@dvR22Ah9)Xs1vFz#-fMI_%*3NJ# z9~M8Y6=n6NB2-7kBM|^=z)yRA@BnY%0VZ@Qn;0sNHrrhkT}*E_G3r{0Hye8J6&KVD zhk4!$X_#nveLVDN3?GfvP}wOyGJ+3y;oetnvTEFl@{LmMz@<6D+G0a0@xS?QoaZn; zSL)p^qy;u0ayn1W?fisISHi|zb&I(r#{EEr{VT%Pd-aw_U~&)POT|Km5q7R#WyEHJ z()iIJZ>2-PA5c{ft9h^oGAGWSYKmSnlI3xNX;hX8dV82+YT!mlEyEPH+{uAKXgj^5 zkgHF}%QJ2+p3JMfRS-?h6p;xdmPqgLuLex2KFVRI(Cr|Ds-Qx`v;_*{onq#nfCuZ3 zhtT_-GZ$)KHL5LsnM7`CkEQw|<-(YHP#vlMs9F6SI~Z~e-MGKPnI-kADg-LGRJ`jf zll1NPXzs(RK!1FW;L2Wu*rj-=67g)=USXe<5B*d9c4#8kQsBm#u2FRub7nS(LnAyM z*I?iXhmSpb1C6uC*h?$C|9nN_1Hx37{2%}P?Vok%Q)Z!-De=$WetY*8uhwk30OX(F z==idRP#qC5=R;-~E8ulv*HszFRb_5=ggiZs{(wJlwhGWf8vav@!<30bV3l(yNEZm2 z{z5S}Nf)TGdu$@as4c3Bbf8=C^8IT@i9> z#V9e+F2YN6Oj~cXCTs5@MxG{w^&Pb~bDARIv6MqP3Ep`2-d5|EZTE3?Ny&kF@57?h zvk7pg@9xStGhS&Pa82~XXcJuaq5QJSaF{Xp*>1_E=jSn-6s^4rS7Gpc6tu&l9Mxp= z{6kWYje%~|RcK#_(*QVr-93pVfMD`TiKEn<5-^l7GWs{>Pq#d^CV-?Q&e z_#@{f2r!D(ZR?OaN*_HxbDxYy%evOKGfGnC6XJz$?FT)G)UB$LN9F!1OKs}LtGR}byll63w!E1td=WC4uTEOWW1Ow zUh0@Z%Gni*&0>)K^B@2I4>~b-(~jOX-l|66pks*UA@ChiZP&6PfJQz zaUF3Bh!AN905DD?5u%H%<|#kyrC>ARJ5r*kS}Ygz)Zud#*9TOdWQfmDz&E$=crVRG zTxA}Z*UZDAt=8gs9UXkFnJg4Rk4E=@*SU4H=VxDjYHMVJRfh|OYKOP*+fB2YqC79O zDZ3=b@n!~iq4qaR>#Gm6+V-`u0mpT5d$wbd-CfFiX|H)x>!$*KXYC-ou`!J|PnR<{ z2YiU6vc>v$5knQpR~WAQ2`kw=0%7piO}3stE{u3K{hh`;*X_b>=X@|j@S7HAc?D`> zM=eTH~bkZU$h(v0k+M7JN17@R+oSO?VtK?RKywbp}z9v!(gy~O#=X_?)1Fp zi79++b!(OevrYE$d>-=M;FrBTRM_U2$p@% z>iRIKb_9=UE7B~C-Y16dwZ{A)6o-+$N||GXk5Dy;XH&qd`K(ZsGTHQ)czA3q^vSTi zY7_!hd0yyhy}ug)#}s%^g4V{KM_(^X$CB z-US1+XRg;}gJwLM4J0pNJHSuRI>A z$N%A1EoZGmzkn_Bqp%eMZb^5-?f+xMO%ScQZ6w{*ys{>g#$iRNRtkqU%~ch zMZXH*q%*IGM_hW2(o~FA&&9lSRO-Vg)ze@O+M0v9GEpC}|XT=as{4C#nAx1{>Pjka6K*27dfK^NZj_COf@9$F zTDpl%5Vh$ASWS$a~|$DphWei&DZg(^UnvCt^sfcX>qnWSISq*}8 zt#ef?rJMOTzio=&{C~eq4rokBVV-^AKFcl0;3Oq9#!OFG8}0IrV~b#sxnW_7p&{cs{1AmtFm!@0L(S z@$pPp-{G<%AD!zQvngP?ObtgC|A}N6dd48L)6?-Mm(c`#3=_ZuOTZ8^*v^&(SKc5z z8QQWYk>9Mc)atkYqi=)Dy8$Z`k}SJm_YC_%WxTMnG~6o`8H$5>yueFCUsP z@iCj9gj3;Cs9-sf<3YNv`tJR<$eHvenPOVS)RHXiu~xW99(vL_O{L=7&y9W^G;zCL z5Rd9aE$O=Pil&clCHXQ?V`s0b8 zGPm!77uj)A`r|j&QTQ_&{E|9$nLHGq(l2~vr7_mLB<^*(ddhYbr{orErLSU?EGrm> zu_-48SGOg(ixSW+v{rh*CJd`LD&3eai;>wxin$q=%YvED#X*J2LVe^Q)Fa1}G)chI z(Zf2(xyadXaG=FN=Zk}0{xgZopu&bHTALVzk``lYkjr~H9+!B1CFr=7Sv0t3r+_Qg zS*_Y+so04|ZUmc4_bie>h{u{p@=@jgbx3K(VJ$a`U#=z-ib+r{#oXSR0X?IwlOZuQ z=a;`5c7DVKj>0cfOc8PmI_{b8PWboezx0B*7}0KoP0v^0WiS((o#Gw-=z6UL_#zil zOo^Yfw;)?`y!4j>2|LCI2Nu4K*RpL<97BYW;`55F7Wwiq-u_vjBfDFy-A6R$kqZBo z5i?i8_fT7RlbqLt3x(^VqlzzjLGnIEhhK7bR%(7Cyv6Lc(Y*TmdO}~=aX`Q^`=mt` z{g=Y-K91*YG?Hi+8_y##Mm;wkmnJFJGF^v77c zlEp*`vYv327|kAhk9hcB!Qt)GqJ%Vt=W7*)JXfCt3;`WOA^$zP9$;Sb_9Rg^Jtp>b znKIl-FUQg@YK9T*ZJKiLrT4z>7mX8$cqxKLMaEXvMI5OezssvlF!}XQ%BA)@Or3q!oDiOeI(6iUZ@kX4hhdC z-v<}De!f5zBX;r?U4dhK3c9$jCZNuzM+O!*6|+m^wQ=oskO5XmZ!*S6 zkmu`Hz17%p@O;4jDVlJ6$)qPTJQ{Hw#e>fuhWSJVZH@(0325VnO&YP-4 z42-K_UlB=ZDe@)WF1}qZr0>pUI)z|hV``n5TjFq}Ddz;e>YC|%l}Zku-O-I!!TBWt zu~fx!pVxboflpE^=oTD- zJ`Bh#fJ(`N!yYqFTN-082-E~j1CY34*qzT%_{PjSTVfp6_ELsN$>57Z63BzS5MEk` zVd`x-B)(W2iV!m_rc7ro88LI+A|O*^dmt>Aq)ak6#i6u&ffl`}IA4e->7a`ihygYu z`#wils)85b7{`7DJsYzg@jKep=@@#nu*+urDq`vxSD?os1s8bQ@sYM;Mzil*3H>#st1%40bbD9Ji z&b~Zu5Gj}pd#Z}2+|;Ui@`L}lCYo}BpT)ectbdG>NN(!lrGQ`lWqi_C6zGOZVwyLp zRvqQ70#YWACh^XeZ@>Aird;8(jivq(l2X5|heZDKrA6UBBHY3+oLvn5hpBQm7cZmy z#}k3b9ESai&Y-!tWG>IdZnfzUa=P zV~Q}n;AMAOEg}Dqa34jG!bt9Mx`+z@2(z!9Fw>KSVbS!^hrxL@?OyQB2C=7c^k+wC zE_M%eXQ7a9quM?_UM$~!^NsGyqO@DGVbTl`hVtB!^zD@OTnJaNn+yN;n{Pcy&oF{) z*t?iGn9xnT?58Q|>wa5bIpFb6KaFwPLEorx46Gg@f@=z|E|9-2SCP#n%s|oN=+6|o)ImLm>l;aaas+KPew6Z<1R3=N%T$;T1D&LYtBP_EA=~* zSQGeVn{TO$YB5%SpD$OD0jwS*#UPkbTx4s5kDMg|+Z7xbr4_x>>1C3ZLB7>`hW#>#nY) z<|<`2E*vsq?I;*-3*pXH^jvyd^c)=Il#IVWkwn_$R7*2kGl0oB#&=4i#NsiEMd)3& ztj=z0VtCTvjS{LIOj-Twfc4?Zx=A08)1R+I&M9LXPLMt1^J2FIOor@9KPWO9q#UdP zIuo9(u-K`Whf#R_AEqIL>oZ3AN&}4H*M1BZdXbka3z6rP73SnlXLQw$kvAzaW4$el zq=3iNJPe+}7`p&;3`~6HUgi71hS4#Ohu>qUZk>3H7GZtKN%AOba_@)ATNnSBm*FHn z&}yDRDw=fZxIFga@aHAsRw3TiJj@JtwG@^cwG=`;NxgClpmYl3VfN%lhB-IURsnc* z5yv!;6(hD{V2IUB0?P1Eo5&CxwIk%B9AM5QHQ{4yI!9hEs63r4ko;f|XLnI2`KU)< zROo`n#Bh5|57^ey9m|V15@JX<^8DSm-~6s&dpq1-H_FMbW$qL-8o&FUrv4K}SW@#7 zCD-o+vsrR=8LHRj-+l8t%~{MCC81G*m$0fJt7aWBrVy-S3+6P#WZh$o4*XJnW67yX zb2+Hh;g*wPXVK1_Fm<7~2VyK#V$uXk1?K;P@wyQ>L3lvyq_*I4bfQ>m3X#tN7N>Zs zVZ|I@M1;FqiFXe9vbgk$l*!h5fViF{bv+Y|7wLbYDV8 zk@uX7GNj-{$@sh9e#;C$99?=vJE=0m zjj(QEn8~Q8jex|i+U%^2V3P~Ae?K2Qyp|TtGPt4lRT$y;C&@&~?B9*>lXm@)xQn?( zlkvhvozF<F3(dD&N-GY&1j>+d_I7C|HNs$Iu;4>!bY6Pi;B#WG zK=%bN*ZS_W;SUYT^q_y+oWs;^%rVGwCX!^%AV@(G?=0+sTHcm_% zH8u9_m`cXxe%@dTUK@z?v>k6DraWh?$qP&V;}$3DQu^EV4K<`>p-C7VbUcylGPn=S z9P+GspCBzO<61UTdl32N_9Gg~OG3xPZHX+fIt2$n%{An0z(MM~`vTq}vLq5l4Sh*p zQru_d9ALsq?$;((2@Z3;2ApNig@r+cW_nvKRF9Jvge@%fpIO7GZF1=4@i2ZVrs6NL z_j>pqi`T@?YKyBNS5KHje$PZSsjILs8HU?CCfl295P#?1qy{a&j{I4vBVD1cmhFI4t>(9S=1K|C@askoiv5O` z%t#+A&V_R$JF0|T(|6@=V2at#tv@bMiC!;9p@8W?es~_htC)9I5--YPxRMwQ(n}O! zIg9WaM;@1+p?qo2Bra{r!rrtxv{%7Anp{M8E5 zqp)t-S&hTMGCnYd{4(5f(CbLY94IUh`3}0u!=*-@fYU6UvzDwX!jkk^E22KX?5d$; z-MM})N_0W){Ci&_7WU=52_OXXeGkhSdv@=vhASlRS2$2+Ux^%lo#gw!ji+NqF834W z+`h=zlCEA{v-BrLI&E^5Sv3k~m!VH4QAB4%1l~Znf;UE3L$(d|=20;oyv;RJQXVPW zCUe@8ZQKX=Gofik?qEk%3e-tHJx8=$o1xq&FL2x^C*24VHcmVhA^83Q>;;-R%OXyYXQ zB{W}hLdMFZuPCyTSW4Uv$AgmfEY83q<=ADFBWkq{GM^~_052r;o(U^8L++tX8dX`K z>s0EFfk&&i3TFT@=?rN>Qa}Zpj@}>(`t}dXe(7N@L4-Y06RPN!W+zlU(v~_lq3NCe zBX?7B&Ew7dz(+OP+ zSDl$8vI^l00E(s_s(5@&Bo&ha{BtbT-bdGv)R11CFWdLit}@r2w7FAlM5XQ`Xxq=S z(j`z2J?QwmvJu9=EXD9|Z<;~g@dS79rD4Az=Q z(2$u(2=%3{8GP?}u5W}iY$6=aZ{#?g*JG^IU%y0IDS=gg%@CeZt@)Pcjqz`rqydmEa^mnXN2FNyrjsbbmn-T+uX z3?FNe*z*axU~5~N1J1gjC+SIvFjC!cqEC+aib4Fuga^@+(s!{AJkX&U{-nIsg%9Fv zazlcsH6nhV_Pr9-WS1WCV01`dY+D7LHOphlo~!{i@7NwryBl2=f~M(}{e>L9wS*00 z;s|D4ceWFlUoE*0qaPc7d;a+QKY0HBk6JVT_yf@w4bZk~!@WxqcGOEx&! zGgw8dcy0aT?|=WtKWZ*B#<~RblGm#?jKCd*TnK>#y{8fya?wW-vTh^C>e7HJb>-$2 zfVm@<7N_OH)I}10Z+@ZUqT0A@<6vC%tRhSTA5RZx_ zPD8+i>mAkb$Fy@Rg#+<2N+V=z)yrYmk3p2g5psiJ_=bUI*71f}LkV^dct z&ir%4tI)?M#x$lPownY0JeJ1^R0-&zb(+@a6u5C((e4QAyyMa7kn_Y+S) zm7yPS`JBqcV}!MoUHIj6dR&G5V#Vktj+uXVc(|?ze@-d2D@9|bP&m0-;*&l}QKlhm z{^>}NF$(xU{%&wRs+$GJ!wEGyrY}#VWd_C3dEVk3Fs3^~o$G)3-6##UgmCX%V*S$j z$8NA-KyToup4GlOe8Vv6zi|qdQWt!eObDG1N7R2P`W_nX2>NqDuSJgC{I(jFd_`Sy z7@0KXcYkE=+p|W#2iM?4RJ4)63A<|O{E8NXhJa5tmkLEp`;>nYSCdZK}+Vtv=im-{#j^!^I(NY`R$(S*Ru=}V z5d^Vv9xtJ;HM&%T4Ll!TOc;pLuTb>p8H=GDLc$igT^Xm47`ys@KH&?*za00^p2p*% zF8t*=>=X5@j2T%%x>LH0^vOQrOk4W=gQ^uIUDL|OxNC@gMDJpnk#-qXr0X#ztrQakcPc_2+2*n*;*!!)%k#8 zjl)*3U&hnu>v9pIFO`##z_)|MuM=3AeZPHP%f1}h>p6)?%NiF$*jSW1zdxuDfqp$K zkbns2Hepy9tp-%cn)YVA6<}{HvBLT|retBY=>uJj@oOP?o zpNor*)oiC2FjLk<{b{aC?1V>RHK(cCymzC+X2KC+S`EX`U~V2#&G|S6xYon&9jhG? zZC-HCfLA5CDrc2pqe~#3dPJ@Exg?KxAPkUg+eN+1)$yWq6o^#6tql2>j0trIS8JNH zJk25FI~ydEhRZ`G21FOak~h!O>_)zGEu4yv0XVxP!OS?oYh9Q9JdWjYJo!WV5X+Om zHzYa9wR4LO_P-q9Ucwq&`Em3xjcmf!b@hQ~KKxzs!_m=r99{bTrwA~?AGKj*V-W{# z29gNItV^&A$LVYPQLxu8TrXlSLQlW{5B+d!@xK3{KR$;6MGT(d4~hjIn{1*ogJ% z6GJFm^2U)|CrMlE$w0$A{s2BJd7Z_gc|LdrI44v4IjY65eU{} zF%J)*h0oinq&tGhmErzbv2-`jMK$c{W`o{MJxsz);WJnUv0Y_dxDZZCizG|xQ{_fh znv1rC3RHI->6j784iDRQx(W^7;|hu=Su=acBeaXoTZEbK9xdF#-HZlgwdF-&nN4*`Eta@+jS`j6 z=ty&bf)}_|`26ZA61uJ7mob&={G5wkGQl)Rd295Ygl}9D^e7Cni(~ zQ`;L(GN`*{p>7iYm?!9k(=ww?d<4o&PQM7y;*#}u!(e9w_GMIwtosd?U~e9kz0Wo~ z6?q8Mra2vd+mq{LPu3>8mpMYShTOMviwPDu+2|)N<8FBLXz`D_x)LM5z&Jftn24*s zC6j5Ep6U3gA@!RJx&w<;edqx;M`&DBC;=I_>>vp99fV9H84&S`N5WHB|5gUCXPlhI zqQH|%mUTXALEP+el7!7QjDGW(odB-1+x=&Gak23mNPK#Ge#me-iy$3w)wFO}I_x)V zHSTpqytVsC9Za0>inN&Bm5KDve`F%x7Qkr>onhjdpkP!&eLI!(5u}EFSx+>!Kbvcj zz~1XbIo`?S^L#Lkz2zvU>9c z?7}V!NoC*pU)rYf>){Of_QjkG7kCk?p%NRk`XgW`_wd@z79I~T3A1U7H&3Ilrd!C- z5s03FwRUZuFyzkMWd+Yc0R5f_#pT_Spq*t$Oiu zMgG&2a6q1HS&`h6*e&xmSt-xg1>tC>#)J{WuhO42`QVs&-Eo1nLzWv6t-zka6I?QW zXBBu-SG@HY)_ z^3aQN2bm4lm)Yy>k4+E;C1dS`lVd`|9r(194*D7`$`LZ0G2bjjl`z~>|isD)75 z^vHO+A;!S_ z(WKf`*mb0|oLAbqkXNvB=X`)T|4U8r?m+%<1pKQ!3C|a^GWNduuF~XsY9;-nKnW6} zCrCS&b=~zoJae^xM7yrWNIK^c*2-#BwFN(L(SbvR?^=iv#9P4@ znW5-O$WzYyv!PJjlEj%N+}LpTuUr%Ol@1<8gbwsUTH*u7m(dm3GttRP z0-Km`jQJW$mCIJ}T^tvQ1p4mbcNxPhDqxy6cjN2<___Eqoq{{;2qsI3L`c6Z%93;X z`=g9@n8lZm$PfH<@h7~G3b)E?7XgDOCGY1MVH*oJokMj8}V@Z*T%kzFWmPc>> zZrBVW>SgpQ;(YOsq^&!!fc-A^?c`|nEDI?@Op862Ni46CoOFvR33rvM@M%Ip2(%zN z;$chfcN_NS{ce!?s3VA|O)By&Y~1-|C(x;=H5_`fHdxG|7FP>|^_yg-K;;qdLD97PR|v;# z9C02#U569o^llerqGz9^^Zn^BZ43GLy+kre9sbFTNp=~d6iN+#TQcRQ!W@iK7OwpJ zzo=Y~mHcI6{|d;z1^f(i*a3GOLRq&?RYUO3muL3CUWxV(4uO8j(7tcjZZj5p7C3`Sk8E_pZJRy4OGuqgSR17y|P)Er0L^{kMY@c=+M&O8~ zXbW(@13vb41zt*Tj6qUj`-bMfj0<=ag2X(QtRJd`j8C5@!$zLoge)6;?i0?yhC2gh z$Gnp^+#zSTafI*Ql^MU;Mpu@`C3CRiT-v=a&3kd8AIc`1!9>ZsbaP!@Xmxga5$l6_ z#TFHd5v?a^Z6J6Lswj1N<@M_7Yi`4W9pvRX)71d95|YrlN4>0p1Bi;oTm=3mJ?57o zID&1A@;xyf7Gp*C*UertPXU)@CA{9`JbbJ8MFSydc&-{T~bxmoZbTA-T2k&n}}C zys14SBzeX{NR;8ss8VG<4+Sy*dKT$Oy=CJS)Y1e`$kc=J|IMR30lx({T(tfZydZ~| z^W-O`o@WtV^v(46@PJdZlk|?sm}Rw3n1JL8lg{|Bo;XUr3!tq>OGHmcQ`!F09*YVkGx%dtrU}d1&Y0bk@!+D@tRbq-uuN>Hx)S99c5Uv(tq&uDd?rjD2aB z{Bo#y<(r9iY6Mw)F1F~ zf-U9}&qiubVsbN#xxX#iIThA*v;DAKBrE;`4KkB?PP-PqFQ#|h@e}K4=e9R7!NA1i zXNk1G#3s)9g^0u|ODIo8*E8GR_ECezY$Efz$M9sZ10e=D=VG4dx7)pYTw}VVPdP-v zd?K{yKpB%%b6c;$jd>iU(Y{CShtyA!fGea%eHoF}CW5xs@7Q)cU+u{(^-7V}>-W0ASPV#6x3F=O`T?XV47-&-} z{C_nLPadHL9$5g@y*2eVCeIjg&Hm41tG3-sEr}aC%SI+|*#2t~SO{IEu8*l?V z(7T8f$W$w6LY!JcpajnUGX0tMFiPBV1?X>q`&}Rh$I%&tj1a;Y(wJ-)Uz0c^C3Xf3 z71P6UJ5w9OtY`eRUK2`VkL}5&v-0LHxO=}Shr!TU0`8%wO6t;S$T~2Yo4qOK0mD`D zw9rAD3ESP8c8v=WtiJHICD#OmQSernOi}XavI*;3$I?@9p`oY&M&Dy+cjT{zn`g;U zQDT!Sg3-V_>7h*&^NeF>k^k&pqaUOyMVoaClDbxfJ@)65Z8)z+iKu!-!9xol(UgdN81?di%Y5DIMVxH}F-Q~)26w~!&aq_PBy2gP2m_CpdLx1= zg){i^NqmLThv;#IHo{2+P9(a6ClEV#BMo_ZYz)5mn2^U2|JCn@BDMFz!WVBiCJl7Stc zt9Z5vhGYX?(0|j@heB{ncyQam8{!G$87NNi@g_vf+>;6FFQLOzKz0Z#DZ1uEH5mqtBx$!HFrbUOeA(Ml# zHyz#qCEo&LamGW1;pK^Bj>mHxFnekdmpl*TA!tAh6#+DbD1r@pSh5T@cGh+~Vjf>U zqxJA`>XJ={I_CosgZ_r-A2bh<96o)uCsz~&G+JbL#mXaSQo(mO(76ci7-7F$0pkcG zq}s1jXeE{KVmNybJX22A7R# zgzr?UM=ALCRJ8APY^2d3Wq`erjkpU5hNI6v@a-Kj8!H6iQc3H<>-o9C^cT0D?*SRi zVf}U$fA!D0IaPDczC9Eu5QVoZwAXV)?5xB2*tHEX630x4mm)w5iWu?)!Um5Y#*;Gf zfbp^Y%ilD4>!lyb=v!`Pqiq3}1}T)8AK6y9sMfqKZ;n0YxAj?*GL&cI*E{pk z)4w~10pJ^jj!bI$GC$xdATeV9BBWUh5c@$@l(h7d- zz7h`lb#YuY*25B$KTivUN&l(r%+r!3h_$wj!7A8o<<>+;SDjW$iiN97^g z$#cWJb26&8euJT6R0uZmkdxA!qM zu&=aRUXAqU7)g=M>+M_0=bN*6AfSIS2)Dy{k%a%Y`6`7bPfFkirL8Dvug%w8;Lhaqcy7iDxfPjjM4 z)gQoDQWSIuuXze*-rEwOdOpwT8Q-&?g2nK_fTWoJc(3oHfm!2NkZQ~0B zmB>DU{C#N#yI+ul60$}G{G>C!tY*ewN^WSr8N_<5i5;9zUV@9L^|HejC`Cl8$+r>Tk!Y4>%Q>t(syT-bK&_W48fDDgIm10 zNwfOQ@_{JAorX1ohG3N6_;6((vpw}WU$8r{^6)B2>#`7AU4r;E0-DR!0!KruF$|=z z9TUC%|Nb=>QTu)}&^lxZ45h=a7&pZj4fDdHOl}0oZd}w&hou}XqG&K9!F>|yC2@8y zyEo@f8%!<-7-ljflFMVm2Yc|CkZw3>I`^)JqHQuT>3iESe;BxF8P}-8;EO;Itkxs% zB6=$JerX{f^OA-}k(0W`ONMKOuE{Ii`465GGfOh>2sy}H`0Hx385i=Ftk)wuN)_9# z9ZjXKsoJh9zOmRvL*Az?kNV{Z%t#nCT(ZgO5~6K|#H)aoOOc|82(dpZ=&_*+Oo=Ya zRRq|41|^^EUb>+XZWfGol_^*%xp-{OBk`>OaMeE6Q|63MXfl!D4X*J}tKx|h zFJzCytRE)QbngAam;1#Siz5EZz|;BPF@u=H=&9KIrR5U+O429U2chN1n&nRioYtkN7bzDnXyS%j1^+GWbI)ZUwx?!Y$2e&KcLTo%z=mhQ6vB=K0-d z@7=?!FGd+QE?J#xDttAyiSKiZr@vzRp=yM?0>C4g{ltWIrb$ksms!Ee?Hq74tgESd ztiUrI0)UZClg(HSvVuB%u`{tHtwn?jyrwsHb@Pxog1F%h8>ZAz=EDHDN0u_or~Kzi zW<5Vp2|QmG#8|_grLFngMIW>xEXt_0g-AHe@;vRRJ}r$XwXvho&?T$=@9aOt+s z7(ziEA53-M#4;lPO^V3G}7o3`cHKmG@C*|b~8i?=a*GZDz&&_{?Ti^sD7ns!Rf%uCOIVik!=$h-9xTJ&PrAG z&;KPgf!h-zH6b_QA6(On9)m3DQ2}iiHYM2oc_6ZB-ViE-K2Ok>6wYp5ABfMSgnlIq z0MmuJw(L#jG9>0@vVGZ4{OT02w#PMDXr9*fxupt{98ao2;j5~M@) zggKw^jCePS0_O{$hxI>%mmV401S68h?_`z&WDbn*<>ULXXe~{ zgR_sU8xG>pAy+YZ6Z5#v=J1q)_c{ z{PzFjyIvb%!H01 z`TKXFOYW|7d$pNp88{$9gx6d-zER;WA-{_&tp5mv$V2wOf2T>jQ(^Fsrs`Qa-UlT_ z`qBQtZ_oYPF&r`OZ%K&tJnCmLpZh2fv*%g>sb(#fXbH8Y{;PhQUrKo zArqRHLzk&l&=t2#x3zlu!I9#c>1aEAgm$3Oln6?L$uE-(-}yJZU(t7LvAl+HJe-xE z{EkF=V-9hJ^LaK%p_ME{u+VsApGn@}8oske`0gYw{=4t~&U}&$`|$Y7=Hu=dBJW8G z9-<)ggYpVfbbLp&v%wGn?j@)+usy_}WJyoSU&qsoIjmJ8F9QAvF}ix80ep56nGg~rR>4Q=23IN zf%tAawis)0K4|#5^~zUHTq&Mp{{D%LCs(_KSC03@801$3`wu^zi9q|qy^ zLCYz3VuIZ+*|xJ!RIs(t&FODx3_}0|i43Y|xp7*92~0=>8^sI9rQZjOje+`26p$ng z+`@z(K%OH^P*29#hsf6kXxx{?N|e+*yoi$mcQkMAh$j{IDQ{ufPsyAnwNvbp3pF`t!9JRT=2 z(?aRx_y71#Q+)KS5q!;)MkWR%CffV3Mt27*!GC|<2un^@NK2!Dmo3ZrnoeM*^}cE_ zMIJ`*aLT~*lxpf(?N3tDX6z=E`h)iLn8Q*7M5c^y2qCwo2LNw-s2IZOif$Ipi$0?M-rbFdVy;_))S|GP`liq3d|HaG}C)e3ad zl3k4M3e8B=(?bMWL!6eA-e0T`-&WDCt>htLb zE^1QQ?Ik;djFZ#i-B=T~K1Ea)q|IF&yFZaMznNCDl3cjucxPqsdSLgU{wGPVBX>4^ zUpZ5T`c_yTd0vMQT1Y|mwy=(AMG%W=XKQryh(1`FP|=H~#f;q+`Z@FzW>2B*{ONOB zao~cPSPwVzIVg98ES|$0TK&qIG!@9_l7yKc+|B@6WT{5a@Y;vfWNqSI30=0`d{+i4 zBltTsd$(H+T-Feiz|%NXY#gBsL-|d2-83T`-PeMjH#Dx`ZL&cU{{H>_}yUgXZcAVaQ2iNtt4ofj&T8vhazJuc~>C!!1FM13HlIT_!rZ2C_*4? zvZ`SMqGlQHO@t?@Jod&uUmy=N4vOY+)=|xH`!t?sUGtbe!qP%H-Xrj@U0Ap5{h*4h zyR}zRKx0XaVRUM5| zpD+^Q0M;~H(@O$n2_z^ywwZJq3yhpV;PiNt4OjgTdG@^!z3X!Fm~QE zG_jD9ikB@}ls~p+SvUv}SUsh5SG3rxVojo^=29%n%jP{>o2mKS4ly#pdiHFVAT)#Q zlQE7QCx<`{Xx@o2je5T5Fg5`^1LBo2;>UO42Nv3W`B34_bpx7G?Q>X8>igqRE$f=eqFEiSN+_!JP2~W+}pDc8oNmjdF+GqHj*$07L z>mM|ISE-+sY+oqS)$hLh!IK}I4zv|N(~%!Xvym>tHMy?C%(EQY_b7h|`cX}G;xtOf z3ky}4k$VtfLGV^xjMSP~XanCx?3J<8c!RBZ+4=POD|doZCAfn7Gd2 z?!d%Y(l_#`wD5@y>MHe zjfMY%;Su=VKYsY0dEu}P=mmv`+Bcg}3>nY;3&h+>L+L*-zW+W`FPF^T7R!Kba`f&8 zf(V;!2qbGig-U^1V-y;}N5K}2?yopt*G zm0cBT&8tf)+@Fyd%I1WL#x({JdLN58gCh@eV~CCw5xX1pfGcMQ;K0V~3LxC+F+JKB z1i!&RJ<>H->!x#a`J2ukp>lz223A!Uo8>=CaQZJAnjsM_QpGdN=h8!sjfwx^OEPhq z5oruMXokLQQt+f{k+oCHdyX^A)KpK$4VCvbB1{RFwLUm%djet*qOOMz9ZYCne4_(BBj64GSXYuRUdEKMr|zS{i`ldUo9NOF#$)3eDOOg@e9 z88O0XPS_zR!h#fOIfM1l&wWWUn z-3p6VVTX!TL4Wx12d1d~6XmA<`2F`k%JcPi!)XomFCFd?lG_`-(ueF3)j_UmE?6m( z(=E@k^-@C_`gTmHbWZ*imdWD9nm=RdhzEC3nbN}*X8x!li01-W%;fZ-oNHZ zPsw^(yV-0bD?X|S#uC{-miF6;$yewr3b2QXSV?jR=nCS>CSweQRZt-04BB~My^m4L zhC7oUK=;$=u&J=i1w5z2C&N5K>+(aF==7j~sX+Ina)|T*dA=NO0$$8i&~ty<(6TC9 zyH`>Z`eG1A@2o-vcX7SUo@h#8`oFP8Vmno53NgCuO0aVmG&E}M8We2_coI7&afI5b zCtdkekg%AqPx-eSl{uU+5U|||77RIq#Ks7U#D~`j?F?W~BOL2^2NP($Hm#Ua6YvBf zO{_nD|D&cG)s%!Xe)vx2{MbAxyP837Q#Sc4;gu6IP>(S6!!6<04InIxAHV;OA2{zX zQ(SrmoCx}{qjYajU@?vxAyL;D14vNhy`j#`OZy$ATC<rII5w?W%X^%LR^*x;ipA!VcN09lF6@-ZGn%skOxQTA)>yG$ODgBFJt^v0` zW%rD))k}THbsAvk&eDOvr_7S-Zf{uymf?k2;N& z*OCa8In(Qx^}|;ga9qfiz@@uADnZFi7M-eo-Do^O;~#c$ph#C$*U&GvT4Q zH3P`AAxhdJHsj9v~hV%(@U9Mv=zL0c&+7v8AZw`{~CYGffvy zm40lREiY0q`Cq~0XZ-L}eK3aCb$@(mgT{4?s1n7a-3|^q(z~J_BH7kTACqzIe z8_ihbKx7&cXPE=!X|!Gze+GE`Jy2g@es}-lDE`LH<(xf3hXLKSHCvR8EEeFTWegPLBKCpfw(+u?he#2LLu! zV!5 zFMyowq19Rbc#8F*>s)_QFRz;Uct@<9tQg6cb+%p`5RO5@32j4hXSip+a|68+C8_=( zys{^B2E;mm1}*bj5>+~Fa~~C;rv;d;DR@F8%5!YXWWwZZ%512|J`+9EN)w)?#&1zh zn5f5coR;v`SRtl&-H~kN@m*4cFl=x#?gTR`y}EESL{-89d!U3S?RmPNbrh*%@JCOx zL*$v_-qvQ;%b!RT7LL))V$WDL+SlS*!-v168*GGKoCE*9fXzcZ-dteJ+45EQ!+!i; zab}(6*;SIe*@p;#=aNEUFWSA*?qEw=1C<(gFEr&JNoIs&*;#t;2TRB96>F1IL%RVA zLH^JHdyMGWggZcCH=NbE1pSgDRC(fRKgrjm?gZ&$0}8O_(4n==yHbw8His5zon@Kq zf`&TRlXUTB0(UYZ$Q)@mkWjwm#RhX%!dShNVP@e@n;MoEQFh#U4{@}LR}OO&nAlh@ z&2*W&61m!%b|uI{l;w5{lOecsVTft{X1h`FSt8xcOWNN&JeFY^$IWE2SjMY&ske~D zpE9Y+G%!32><+uDwV)wO2Gq;izod#zW7a6xKVr1ao?K3i0-r6&*nZJ5qCBk7t3u7U z#G%)T7ZRaKmNL1?n9#W5W7On&raZCfH#C zS%H7sQkDs=@8_SbArV^soT*nalJ~Qw%#+G5qUP~B!Ym*N^eC!4LVKLcSo8STlS50I z5J(mYs~w;F0ZNV^(O0dcBbNs_7B-pP2~D05g*rMxTDLBn?feOolQ&j$@k0Fb&rJD~ zs>$Jom&uX|D!nQ8J`k`sj8@E}*%nfGoG|?|?P`E_K!UV!M&7Lpg9nBz=3{3R53^H@ z32M&k;U29uCrR=oD}=6;BWv$k!y61tW+x+Gg8eSqS|>M2i$c4Hks*RCeAb&yPCy1V zTk}-|w+2j1oRcq-S=eCrpbC=(oq)I;Yv-zXQuMQMWOB*(!_S%~256rX(e@8L^6s*% zhuTMvnj3Wxq|unn5p`-!7fr(MMd;+@dj=*Kp|`-IZ4!$N8!nDEsX%04Yx z_Pq1V7XufAx3eFCg!t#n`g(!F^h&Xt_2kr-=$dF$qLyEK3voJErj-72->SUxqo$)p5qA}q$hLC1c=0{AuDMV|EVRBmfS9iTasfc}(63xkBESaN%%K~WJPPdq z7N`J=>3dr{5-(YS^`$TJ7YXFT=nIfqwWc6ab=dF9o~#ZNY<`H;XPl_gs1%u~;wB?R61$=p5oOYJSY{}#cbSH_z94sUZw+BKQ;D7gzmM8my|J$}@+3jcaK9ttKJ4Ty+#C)S1M@YofuWeJlO$AsZC6nUPc z+V6&cas~M3&p&Hwk+g{r+~1`Ij!&44GCOrrhC?6Lut)Ut z03kZ0&q~@ilTPcPt9&N zOn2;@ByRF*?WSNWy_5P|rDN6rlCh}lh?tHp(99rhB5`yR3|o(<;1?j^$+pB&zZDV> z&65=h_2QV8H2U0bn+$MWj<2#Wg;|~-`rD9y6ts$d8P2>|h!{X%Mu&aAch2Q{92Ae9 zXIgkjN@Uqr9%W@TLr|o7&ZL-JdfDGjtv%gO2gkwzrbCrX(Cd&v(bZshG9WO>n-RPi z05BYmziMJVm+a@sJ+wZkFQL}cJjL0HdH)j!ITLh%Lsk*_7r5p5;uB*oROS&%7#9^A@xHh;(3QNdaV-B7 zAg))wWHXnS>U{#?uvx@D6m9gu^UW_6i-1lSCXdh^G>V)GqoIO>A-nzC56eTn3G|Xt3|%aT#0YzawG*rjDP;r98MyG+$8Lll^~{q z@q#OK$)GwP8@D|)V>TD{FbsC`m4~~TS<=n8a)9(U7S~IswS5u&(?bSiBDBM*G46C! zkm*5Xpk`>J$e(JX1hvj4ltP>p4i8^*q*@6<~^wlMmdUk5S4D;Pyz$F$(qb- z98MPkzXrg@_?9FENTvpIppoI8D!VRsuE@dd|mY6DUuDtmhqA z*V;UUID8qbCzRf%0Lri0?_{?Tt`c|B056V zx}7zt`y|%?(<5vj?r9@Ks&Fd6o(?D80MZXO|8x+iF|27E6(G;oD!0XcM@Gz5OI|_AIq@cqfHvktCgn{QG(>arZw1z+6 zHq0sT3Gf>J%$I^5j1lsG1#Z()U980^oz8*(HPl`3W)CuIC=r7K@#w#we*V`#HEpmj zj-!J0Uv?Or9AxuUY%IG{5_{nD1uJ{&T=Zgn>`A^Dvt%Q2o(sR`P>dl9LPXbB;6R5V zPXrBo2E;#vfP8FvdJ>5#)9V);d$v4-;vPH@;{Nrz8gbEj4{u4Kkucu$=0zi94oqAf zi4c~wj2?3M%JlIPYxKRI1P1heVl~*g4nTMPT=rxp$W>G|F0xeH;ZGqf*>JDq1-I+l>z9bGQm@Vz|V>@doj*`}q}gEaCY{V@xcbO0LcM zfsUd)n4Zzd@(lc|&?hLXi(y-y!^HX6DVZUA#ealj)u>Hf=mnyiZKzYYA#dm_gO+RA zh*tYob&uS4rKEM6U(yokj4y#yVP#}=&s*6Gqve9fx8r=t`MF27qvQQw2WdY?nR+Sk z4YT**C*rz{yo}TJFO4h6-0sM~qB1Urm~7V*9vgi5)0Z+ouN)+2*?cQNCG3r)Eb-N|_xCoF+J(Entx6!E$4oU&Ch}6BU-H)_cPw~~mLCF!Fig9=#I|V!m`YJ&s29AONrH_9 z?M+`i73&2+LbYSX%(m->Yg9SGD7EQm+&i3vmkH!B)YDD+!!9PRJciv~ z5$j9+!Vd&r`U8zNX0xBo?vK}O4KWHY1^5jvi}R7oJ;al-ytooBi>Ey^#RA5+S9wOA z>~#QmyWUdgn9=}hSO=Rg1L40g2k5<%H>XQ?5=r#-I1Y<9^P>dW+xdvurgBy zQzi~XIJrQZAKzKrH=>>wnuB1#xz!8>i&WgCF#KW0~(UBr&jP_w>b0+~7`)Sv)Co?30S4;P0PhGKbT z3`h%ow&@e)19H@L3@IJhG*D=M|1NW43c8sUTs?#l5(4g{3d^)oMhS?kf|ch$D#s0SQmvv1^q1it+E&o{@4S`Dch!b-cd@#<6-ltNm+;|{CFNOl0a$=~ zoCfzQwq$q=3HV@bv{SqFG9;I^`xMfCkj?HO5*mqwSEf4*qbRD2S6-+*ciQS@_8+;d zw{q0TCVcTkDbGk*0BmJkRD((Q8p%3FVtoC2mqX&>eCaViAMTT(SC%45SUCqlPX}r} zhn>R;ef6gK=w3VucHoQg-F%$Bm}nX^B?qKM;;-RstB2f<31rdlx5D(VD*j;=tKm@l z(|!)`9pm*UC55@t!(Cag{^lmRATv`}emD3t?%8-`f0+;B9G7g^yQ-I+Ud-zSF^`Ex z9m4JMeTWu4g?xs?Kz|wZ&>er-ixoPvIaOsX^IUs&YB)-?avTzMLm|yb>u-#cDmL7D zIfiZ0H$aAqs+vprDnY@i0>;btEOC$72*+Z9^oh9i$1R^DgRB3%B^8AG0rnZkN+@PM zTMc3UujZra;$)zWDO`S^M^OU3;$~qo8%FcKheLqUc%?8!l{w$}#1nb~9AhmnDg%u+(BnxA37X+?ogoSgNhK`pMZH&sEIV&cb2%}n?Bb{&>kIne&7KGV4Dtlc zg5C4B|4ro>J^piHfWHjrpz*InefL9&)ANG-#c22P%v7U19((&6sLl)2;*u2p?|=PA z6SXFHc(Ozw=AIwO6n;5-77cYp!I&p^OwZ=J#lQdkA11_MJWORM)rJ9r0cNmIzQmHr z*HPiXVzTZ`=koTbtg7(EHks17(I^63#`{cjurV{>sLMR{` zZn-ABrm;Y%`L%H}AGO;8K(PP(b6{XTpC}+g!$eSc)tEER4!Dp4XCPErAWK^NpCiSN zNncJhGJXvdgxzQFUOs&F24m}E`-czC;Ik0Bw=qHpm(|NhT2!ViZE;-kT< zX&B!S!f*pLgcI4g)2V2K+!U`kHL-cPUtUFl$dn}~2%-KXkKfuNr3tro4`7Q7xKc}A zz)S%fc|AdEwj`@*6=Y&aLlyv^VbZh&w^{ISl{MRI0!c9QAbDsV%<*x8|34pYf^fNU zA;?oD-!zNNj6eX$fjqy=z!=xL^hMa^XvE-{@3OEHX(oZ{ zsx@_MkY^!E$MfOi>fprn3}hIF z=k-(dVcuF3~XG+y_D^(bzS%@D16UvZ|5xg0hBaou-mkJT! zm1dtF!fO1AGI&Z3V1L1y4RTA>mVeE<@S1RsT87XK>8jj!n;MB5B{Om*F@Mnauit7J%4FD=9YNB>i3?i6V`wH z@BjSce{@0BuW0CWfBoiK0g)#4>tbUMR@SJ8=ee9V5@j5F0K|<6QFS%w+-we_Si()o zG#dQK`G?xmCzJ(&LGd1L*AO~e?GcKYEF`H1i)xxslcCuF?h)}UoLOC%Zhp*`D?n!H zy@Ld9E)xP`m_I!nbzw1CVj}1gbhW7=VCkT?VJ)OZL^GXY!4d+{wG)zh0OoO@VsjcOq#gM1ZPte9Hm#>yLM-{(k})dW_8H8v_^qL5 ze37uIhj@X@?pe<^KwSREBV`_^oNnheQ4%!a{SH~_D9ju{?sh?qzZQHm%BYUpKMtXc zr{M)t_#Y}dl1xzdM4M1rK&wCZ(j@8ukhUZ-1CjddF3&0)h1KKBCP%6fBvD1jSM%>Pin>WD)2Ul56}UOO{{cJ>QrwHwZy(w@G>E= zm&M%6hw`QNF^4BU-@;#TT}OPRluGL)tm5ftcT#t2a5T>(1n(B!`nWhWrS_mpFw$^= zr8Sv(IwhRn8u7m?DR@rZ%AxS4oHD^Yq9&@paurCjXEBFF&=P^5vtsRcxv!KJc-EOgro_ zTOR0Z1hEfmjGXL)0Y}Q$o=7+{CL>%oVxDbdqUJ3O#kC~7e@0D>)gF5^#i# zY~kzod`7C4Tf-b$zb7z`oxN0V8%9D$B<$&5p)804MDs55Iu7pZLU zr7tlAiKhdd+lCGlJgJi>>5E&;Jax$dNco-{zLBqfyCb}+I!)Rf)i&}=8;?kOGsozu zYpxo#X=wV&pPec!z|%xWXW|xz(ewdTg2hO5=uRFv#f(w@DM1WJ+1oRr;bBWwr{k=UxF*5$ojEzGiH zSDA$tbA&>P)4Duelw74kqxrt3{7zlQRqu@Yx%${tnW#f%q)(u2GdK{?8p_eS&7i_e z|MM&YT$Db9$6J=Ga&5SCU}wvUJ%?2WmX-r#S>LKe-&`J}o`@f{8C&^V$^8(J?bo4R ziFmt&a%SRe;_1ibudCu0$uj=d-#p1EUi8ZoEF-sxdeJ6`FRUf6LQ(MN0p9`}`-BY4$QnE}CNNX7FyW zg#`ht`S97Hmb;@lhCiobXbH!88xZUz>PNqs~HOG9#wg&;tQ5NHA z1MWGkK2?(m>i#!HweoiOIl-q!J!_oMN6Lej!X-QB8^aPy3vEnw$oqKHB>{B3LwABI zZuK&}Ic_{(WsNS?`U6-5op)peee#)DGwcKXuB5*PC~9xS=})qR1zQn@*zrTi49mC5 zLml8LT*(s=$Fn;TUErAx)Lecb>Y?TJ+Pe{{t%(3{3_Uf5;rJLRY*Is5RF_e0iadIc zSW`gU4)QXlZd)+ZJ`-dkMe17Rr*6jaTWejMhmR5LLK+-SwhEy*TrMLKuQA*>lXQMpQ z>*IB)$cv~BCXa?MAT&RstA4VthY5*31nG%Liu`%lF+~i%`R3bPPZgky%IpB>e|+=p zKmOsX9{?3+{Eu%Ro>UW`*k;uyiMvDq#{zq%*x`lrj8~-G>@v5WE&l0@{MwQFSi(SbpxXm9#Akj=UWFCa9K}> z`nPnH&;W9+efzQl^9wWTbGX{s!AyA|b#=GiN*uAMLE$9|S8b$3cSZGT=Iy*-jf%+@ zeWXZoR_erV6%xP^rt795N(na7g(qgK-9-(|VD#$CPb}>@5}UFlg361`J?<184M!?h zY2p)kd$1R%Z3rJS`7JZ;uJF#~U5d)LYu^a*;YkeM?Z zMuDcwhyamYOh9KQ^6!kk~DO;JQq34H!%a}Mc zW;>g-j`+s~lELBT(wJoee z?`}MZi&e?W9J@PIa-AuL0oSzyy_L=tgm!VFHrh66OzY#-S=gCXwcNQcZg&vk>o(=6 zPvSh_W>dOzlTa*0)<<3eUIQ*}QM>ZI78JESmAYT##h3#Q@(A2HJ3EZ7F%?J6Wv0YM z{_%Le{D#7!Fm2rXR<)_dp$0MRh;RVkj)ox{JAMlmm zRFoV~*j&BJra(vR;kc?MGMA70^v32fb+nXOz78@C-IovO34d6H?A=00#B%R!^x<_< zkoyd-Dw$W&99lD+PkD4-HL(o9->bZV48)%opSeuEQ=i(3{YQ^cL=0+;2QdL-ntPy{ za5ox{mc(fe*Fqo!OMAT)k7>^*e(Er~nWTQ_Yq>d$kmYrADPI}B{TYcVOSZ~Gxzv@E zF)uz)rQoqT17+B4#^cZ$RB!3r9S6@P1=$zZQ45PPrJ3-H2TXtelrsra!h&by|AfKw zce_j&=<5#gosyX;;WyuW=j%+^41@-P@-o7KDlT-5H7Q>_d^Q9y3Y~v^r|TGt%Q#iHsB)9#9HV@%}`FDyz9%>rB0hJ5-0|RP;OUxd7>ke$XyR*oV&$3R90KSHliW z9>SvaC&q}bN67oqn8bH#uFsIW#61i5Qx8f_!0zcJ%s`AXPv_ww$YmQ8P;6@^<;E1O z9)2XKVfK`|oQKcWCh_t?t!?JvdkII3X5uy}Hnq$n@!hGzK&f!X6?^J2{KSxVNcYnOyZv>h?yA8%@!GlhaA>?7#-3rt=}4PHSP5dzp7~^ z$)KY+5XF;jz2wRpIn4`va2rE!Rfh;=l!Je-1;qW z#32$$1jB>IW7JDsq?z}*j<&hT<{uBWyne^VOA|K+76i~jPNANuD&~aK^id5akNURb z7$>_Xo?eZV&!Z5jyH3E?3*TryNI<7T_gXX^RXIykf<@wci+O~%ik$~sv3a8O}{wcUE&9WZkMpzfndaN^_B8bT790X zF$o_@E=n9~pJBg(N;OA}?w)kx{#lCI@f2~t>5asz6GF00XE8Kl6?EOg^WAXdO$BdO zIUc=0*^TXr(@X{+SB#5{1xgDs4(cMPy7I!P??rThT|;RuFEsg0;xO<9bJJoN&z)&sHc7C&4x2(E(KDhAx#_onqM${Ox7;lYJuJK6o_kD3J2pm z^)MGaxBl!)F^7286y^&IGWaP{|^#H@%U`-Qo9 z7I+(fMwZ#;3hO2lwIS%%Hx_}W3=CZtMI}Y!(1=FxngcFLMT;|M$U>S9kf>9^)if4Z zJ_ooZQ4mw6xd2rA)cczdmz%jDK#sv3xltGdplQulx+`mP7#h7Zju zgNs07c;7$Mu3mUHgc^&1wCKVvP3T2bUzE`vnScZvrsIAT!orX{*Nx*u8`|0v$D(bny+y^8|(@P(|M?jOy`*Rr+7`~Z)`+cgu zSM)pe8CpPea^&VJt1sZ(rGZvkfQ7*_r{@wzGFEzpU1?dD=9sJK7{%~C7rqnLGX#20 zCMi(8)Lji-!cIN57>B4uIt$ebFUh7R1ELK+7TBo(?`J}C;r{lY-*aiwt174RM?IBW zpO%}$b64>gK{prV%W1h5iSUy(cVNs8vXyk+DA@}Pp;|P?HYJd17hYtbk z!s2vq;mLL%4O)jgpgYEsb3?~`A+3TQDgUP?PsSLZC@-AH)(}nzZw^*TsIdnlMB%v< z&wLb1)OfEBi5*(k7%cvWK;6D*_;S1C`=y%VW5Y0?I&w0%Iw{%{uXWnXMFUGbMLWEy zl|^-&^-tCJ-+jx%wXE-1bUj1XDGM}=hAG#ce@~hg$lrfANMW8XbhG8;E$odr9K;`MZn1ub7U8AdL{jC+uIyKz;p zPdyWC#4i;|+O3#J%+o|#_5b$W;8SnR%k!;|GFE|^H@Cl~Qc;@$XS9H!kzx+6SH(af zl_ZZrpYBdnfz7M`v3@j5P*imh=WXH~VTVj-xQAWYi6+Lk;WmfYA!r{A7DNo$FZEqm zF>Gdz2<%eNDhlYkkp{9*cNFbd47o5#qrkBY6I*@RQap+RJypdvd?eQ!@jwt*%YgJc z50Nz0=NDp#jK(;<5Ai8?mB}n3Fj4^}dV??<7Af1O98e*<+ceNU14HppfTFqRQ69oST zte4wvm8uP_9@K*o86Z)aKzZ`h@?@ur2j86-?@vGrD;l&2p0 zE2qw-{V={|S_Oi}BWG*X$oG0MNurfWxR;S>B4_TW`fc8nE63ldxV}p5d%6$eOE9Q& zI72Q~ybh1vTDlSN11T@JS&Z`;A$efaAmXYIwUmL>Q9I7PpNlkMuzBA47KI^go*6l% z`}i7oGJxG;H?&t~Legf+=q4`3k5557;(~v=Wq}S)_+Q`a;`z_-bkS=Q`d_-f{}=aO zwb6(9=&R}8(rJ`NVdrL-RyIdRbuk1n41UkRUjRb>N5Rv^ zS6jHM2y4*(9@~qZYtxS_%d$y4DHXSQ@=pT#76Gt`cKLeCDnOTfmbZ2oXASjoqyy5e z5BjRr>#ux|u^zep)gs7yHoS^jNL^3!n5mm(G_HxUl+?;>4mI9Uq}Gp zeFx?SolDMD$C^ut_b_9?x<}_a0+o00L2g<$_sRm84M1nim`9yDbIYn=kw;XHBECY z^OGY($7ZQp;srgJBUJhy}74RYZ0X4xvTmFI;9u|jx+wX z_o6bt30Z}@^X9> ze=g9f;iy8S|NgGxs1^J$FMa?z9q1X=gDVlW$pEJPElt3$WU#Nh5KcSfF7&H-0oz?_ zHlCwBK+Ap7!nEblcBW;`CGtr1g+;h~Fc0iEswkdnhht_4$p30&ep3D93N2-`FJ_B{ z-}iUDRVJ#Ztv&~`lXxkJ?4eHo?8P#!(>}+HZo=2O2|l8OJs9Xhb{$DOwiKocLd6Y1 zu0GszU(iE9V8nG={V*zEn)3S*bSlf$f-85COB-6f9J%dzb`W~n9h=NdKi4~gnJ@xpmU|_(Ol0}Z|9-;G<|{!2kS+GgCS}9?kVs@3|@vw72{uW9fOq4CBw!@s`QMKv`1QpRdnJ-kq2=2byGQpS`?mIY>FYH+&|@nzs42uZd%AunxvKivEr zclLhG3#KR+vb$W)u-$ce@zbUnZjwmsm3t-=Mi*1>f40-a9;dbdPptd(*zzR6 zy?AupSQW=E@^YILRR8>57tN^f*V7=EJg0HF&nlT zp?;1Ge84VUu1gZBS0;H+Aw(P_pV;w2n8vn)S1YE{hSawDX*H6ZfMdDM5mio;J#>~u z`9Mc#Cu)0IUeBS+OP|YxJ{}C*NOX?r$onSv>~eTKx58Jx+M@xQ1@fG77`i?E3UxuyZvlhcT^bP^)jmxMyD{A(HYV6@T6`VPO* zy4C8_vm!&KT<&g1pXIOGf{le58*L0zm)SUX5psbShhJBB{IHaV0-D$sS*eL$(jS

=8K#iMWtX=0#cy*$l=O4N53%m2!Xn~t7vSA2Lk+E@giEyEWT1H`mi19 zNBTj(On*@!j3c@|Q@%ocJ+*XKzUPWEc0MQCrDQE&#tRL6I?!G#tjuO`j`)#%P&m>0 zCU_{UhfDlCgcD&Krny7E3ZOFE$u~0QWYN+^djc5~N%k^Y%O_?N@tT5x@A-h~t+MPv zS;JY4uQfO1J0(~75`Or`Xw3ddPu~{pXFpT0Siv7DP<;8%k5e}~FdZ{0zm}p1_!Fp) zYbztJ0bkn+v07?1b`mCZbj%`g)_0qymyvrK@RwgG$b5Wq!Mb50?fnsUN|v5X=7le< z){k}=v2)Q6Jf#s;aV%#%I8pw`#jF!|EZye%z@P183Wlec%welasLf;!4;8`vxlohk z$^$=ntI0yH7KikcdmGDdu94vH7xk-hio`B*e)%A})+mX8X=Pd5de^f%$ipfaVkeo{ z7k{`y{eaTbJr(U}&;(##KUDNbfV!c-HDF4+Dqq_+@FeS~foiatT#iy#3LZbke9aiu z1)81@uPbh`aOhSlQ5#_OEU&5~=rt~HBje!&w9bpIfto{mV{q%gHxk_(vfYzFo(0om zhFqq~o5aHm$ZcS0b6&iXIa-(YULdVjuQ(iq-$BQ%+!^OV80>>K2~>o(CrNV$+L0@B z+q`|&zyt!;t&=Wy0Cy*a}j=1d}ygD(TS>~lkZJ>Gz??% zUtDwTZ=r4LhM15(8OEEiZZelF$7^2KZ6=SrmllQ90s_tZElQPWF={18Z?l9yhjoOxda+uE^aL#6srJU9`y z%ZoL86RVrn$K#m+T@6gVk^6n`U zEuJoftFc6wplw&lY6)$khd&BPp1FE~2t?uFr*s-D7_0EArmU5&C;vpsm58A`3vnOo z=1UpOEh-Pm=KP+pxJ}hdNL;x|-DazHU{!g7x+|TNI*mSgJgb2&k=D7wA4->{a(*^5P3$py2HaVd3vu(0Yac*t(l(2Av+KRZT2?*mVQ$dwNORsDd)E=KhBEqWRjO>oR?T zNvYlVC7-H5T-sY#Qwwn5Kwp7Y0cf+f$K_q>=Ajeza%dE}7Upqd@8sjk!0hN-<0eS3 zf+KE3ylxCFR=+N-Ix9qW6nT1_pzhaN!*~@6G0-iigI?MPGaxeak!On)rI(ntt8Lhc z!NK+L&|7QvLOsvsPF>YS+ooQ=-7!Esn)Wi(J)=+)ap8fxpi*}9iAlz zIcnC@UnGO4Klzkp$pT0w>@NW&x493qP$uw+^p-VeaQCcIdbq)|w-bmvf8QPgUnDOP5$XgdA-| zxu1N6=%Iu0*~@@bnC4>JVjRx}e>!G!r5~{~@`{ZS|3!rm;(BZcsQr1t5Jj6`(GTpa z%6KQ~!B&PJbYyffZaS%hgnUUOskR|HtfEUCdnEUFCfLxcWZf^HO{qG|#1}Id4wWy4 zX`W>J!1OPZn3A6ZY~3rVP5I%cpSajatH4jAB4R)Foep^tuKSAMa-E%;nTQ+A zzVwL1ydugH5)B49gB{WB^~9yGK7fW8kgqBZsJy#;q|kb(=`jTG#dm|FMDk|H;5i6R z=j5u|pFfh_yV}shAqq2{d8WiMio6f8$W%yx6Y0SdxnY(H<0Po)xsOhN9UfKYM>99z z6efT?HuLU~^0n`Vt=frI&5`ZGrws_Q?*?h_rBu?qNQt8J6Mw8n!^O5mASd9JB%`LB z7qLniQsA(c=@ucp+~a{A^xk0W2!eX=Zs#u6fGBdG_akd_If!&&^!aWOI;-x0VWHbb zoJ!Gi0CJ5PO&6)mzwqYl5+r5HXd9~T&7Do)^2zd%;=AG5!<|NM!EA z1~N;*{l9)UNcsBL$9IE}^g`w7fI@sr(>ijts;o;y~Qt8y@%-}V#x7~;1rT|Tu^R&N2-7Qxcr~1=}+Y#V0KveVdBj6M^iHG;h z3FJn#539?Vrov@dInlPuwF*8cC|R!M1ZwUT=`>#35iz4bZdvZE*81aHR0A}o<(L*CanPm%8_ar zzp1ZR7E(RObevTvyQ{xT%xyLYg&5?SVR}-0+NNNS`aznXX+6kVQ{XlEbn8N2l+f)s z)s?*2T|IWrC~l7K-00EFq!|mW&twRhGmNd?gBf?RVxx|czYK$pTUQ?V(gs#OIl}Ha zV9l*7wsE zh1aujt)ROEqBWtwK&ly(x&{npd)yIX)`cb38ame+_9K5~SM*SJ1A#l?U_j&WH)=|E^O9ws63((rhdi`%`jII<~BI8zgQj%ocJaxV*Wf{F~`ukb`>y@(9uu=CT1G z)F;_AfwU7Rqk`A^wdC3CVZjtfh%z^nJN)TqlO4(M|(X9r7AQG%b<=g zej9+0Nn`p>vV#%s(__#5LAS_{QVMOtAAfF=5ls)D#|Y%K1giVB9tLYBxFr1Mg2n&E zeu^4?o^^J@?k8@E;w#GOtAbD!1g`-vGY#8Yg_U_So=;Q8#hZ(K3)%#5u+9&h3JQpw zhI(BT6ZB>t4!gmcXWK&;%i&v5Pwivs#Do6%2eyX`2ehs`>jP>B1EiMDxK^nd(8E)b<3@h)!YL_sDkAaI0oW#E{gvSt-`3o;S{h++i- z+D%4X*27B@UJb}A!mLBuDgd^ia5_AK(X(eG85^_K-Ee$rMx7B0g!ACMPP*P&%T*nY zc5$tXiPiVZn7h;#^m=ybF2&aAlIm9DHLu9q60TU;Se%J!asrakJu?RG(8WD5l7Q{V zWoRJYD{Cqh+sg8lC_u$k@PjT9WiOC&V-}Xrgi7JVs`w-XO;=#f#ShPl-TXOfY7mrKwG0UMp*)(59PiJQ2$ z!ZKE&=b3x)T<_b|nK9eQ)V;Fqn#}W|-s!H$?Oir5pIJWbT{3&YkrxT6TdugbdT)^q zeM8=a%9ld<$gB!W_B^l1Tjr$|e{kngaVF?*98~O>uk+|7pwRmT+3UM8b;Qv32YlQS zhA1Mn=wCgcA1xckOw(p$}Rh@;; z+7oll9hPiGjv(b*0d?$(SYI$Nge9_mT11W^%Q5RLdCVzdD6gD;Ui=HA7?9zvK=Dv&oeu%zZ7&e0UaPN2h}kHQzEp@%u~>vmZ4p5 z4m{}!S;A6z51Nxqm4E`A$1QoF_qlgwfZ%v_b}iXSoVd@Z7iV|p|KQX(OJ zb@y%{69@N5y;iHO38RAk`Dy3==J+|A4}MRF&9EO;taas^$i%}l3>9uB%p~U+qFiHs z=H#=;vgHgISDr@j;B!IonEKqLz%+DLFQSa=q0J(B6CQVoJIy4Fc?&txsMFc^K`c(S z+hpU%aDPgae2D3LWc18K*w)!_9@>`S!$c|bbW{JOHH{|q=#d)Z5*7=3)K@#FlW1*9 zO0c2_yB>Dpad(X$k28|wW2c1PKNDQh2jSnBD_Svn6}$O-Wmy0UuD#2zdOV{Ri*r;> zp*-1P-IYk=h{aLM9$V`HEa4s6Il`cu-V1+pftGLebHQnJ4z@Iq^imPv2p8lDDnPKO z(6BN+Y^3}W!Rd>G=>m#8eT{R}68F8Ba zSw-hP34ToCfjhr-=b+twE@mk559%v>4OC)IV5i95YvOqq6_?B-aXodMQ(0PvCR*r) zCSicRDf1}lay1Bkm+u;aa!ukxDJXb9W`GX zx&Wt*2KGxIlfVwSjhS;>0qdtR^u>Ll$Y)VQ!b^d?1fy>!s@^@|Lq3=A!_ zZ)WlVA^3|g0~KWD!sY&JoE55(K;+AFXfb~qs%3)AWRr;?W@ww~4B{|+VQW1_ZkA*g z-Y*AnPg&G?#oDS0pGD!#>NnDf!y*Q#Ltl}Kx)^}RjJha(CMaT-?iVrq=<8NP8s17D zHH?{v4t~v~+sqeyi|~jE&g-n%9j5jnas9`9H@BW^A77*e>SjcICWfz~k0{2A@*J$h zuB9!NRrS$<`)nW=c|xF>M6U!OB5Ktvg6_e%fS9INH0(4NfHP|V()A(WCFf6u2^iL@ zuf?k_t>STFW#83j4cZ1#D(jFe6U2M|n&oClC2#C%^oc`HeB z%1jn$BVlhS`DwtD!y!)BBhi)sykUOsUU6vkukF>l0;j;Z(rb8d&x|E-%O-kWuD&8m zzyL{6RCxTgv75IQqucv4a4~#ViGkpiv4(eOq3pqHx3amXFuFKw>1DI55%FCaDmZGc z%#a8*#Mt^?v7^`-8`E}8?!p7a2MVIJV*%s#Oj&iP=$7f2#=50jaZ#WN8Rwd8UiJMz zqDGm7meLyBvvumF9W12e3!VhS3O1xbznve|c67F`!#=ECFr8bnmp?oXuIE8i;hC_2 zd&@N!Ie5V{Z>Ew2xn3XL;hY4pf|s(paF4)UkO@)Z#;aonW!$?Z)JXoB!W1BN=z}L& zh0JYmRjSUeC9Efnw3owShi;RVYAc~eF;KRSs^el)u6Jb#sH%|z1a2>P)W14eFPgcJ z4bzwupAT&PFWpal`7A{i;pU%Y1rDjsLLcVYg9AN?D$5g_rVC7NlxMEx^w6`IM1ik& zNX2>Ipz$T*wdSgX&NB45`f%aCDKGUoOx|}_%uk{A7^=&-Gr3sfh|w}~(d$Tg9!}es zZVwWl8dYMd#u4>IK&Z1<_*P*=58If*u07c-=V7KgeHRdXR@FPrW~+Gvbpx(T!Camg zu_nm1AEX1IJWR@*V+s-SDP6}hM-_$}zU0lLVmBT&)(GP^I|$-J277fKs?10fgOD>1 zjrV|FE|z%%b8`#f2Z1_zkCrW?6vo0dxTdbZ8xR#_6ytqMmVxNnBSP;SgLNbL zT=}xK1}UX~{e>%_A)ycId_9W4{QT?BTqzIo*I$4B^;dJWE_~3M^Z2NXF~NgnCutn@ z#bU(e_DsA?T_?5)cnYZU!WZ*~pIA zqG1IaU&bDU@%ZEK*#qKwGWJ_F>(e*Nvgu_pf+~Ztxw%5&tF!!1Id|e(yLy1JxRjsd zf6~F&ARD(4M0^Bx9uyaJR+S8+I<&;8@kjyvFPD9`>!U$ok`$&?m6qqBnfzp_RQiPo za*WwxB%Ypy?}nc;x8qv!P&=hZCV}g1Gw%sk8?%4Bx(+ZKqyd({Xus(F=gRlQZvs{R z$0vsGgIjS%w@8nucUF{TQ(R8;r4|JsTb5e4Eh?D_mFQPObQzPTG0#s#tuuvdD(3>3 zMkyhhsjatY;ifQV0X@CA16qs0prJAIIrOMB#tI!#$f)3P7h@0O-jZ1Yt(2F9U8vvk z^l`jaOHhhNvxuv&7+?GFC5c-7*<0c>ud8)++69HCi8b6 zqm$TSI}F>^>OOTKb3H}MY@rUjLsAqaQ5HC!rpm~S1l*7;Cd33 z?kr-!f_Iy<%O?uJ@<%uYL{e_XF70BMvQZHF9~$`bWoD{88S0$vJ|~KX(W+E>my^v< z2Z`EhI&hqv+WI_8Q?yHoT~qgq>lG*pfZh0c^slVCV|kOO46|Q1<8y=Cpbj%)hLznT zIHOL_9fhu?>a1!piv>aL1D=3FJ;uYB?Gs!xl-G}`U?b#fO zQ}aAd#T{VBcv49zp8B062UyljQ+KN%W?qOKF%d!3+~{WLhzpuam{KqqIdzGoe21)R zV7^3S#n%Hq3kQEkB5KyLb-=?~FB^?LvdL*7mWlpjZ5chs)74|9pDloeJKA*i30NAT zhZ59l?JiZ&OZ_Q&#Jy%$^X2-P`oPwq;Q7Mxi85{c@D}{$OTpR?Ib#PNHiydT$GFap zDu^Kg9U5+Q{UZV8g!rv{F#QF&?Sa8nwPDwk(~?U+v5-EA<~(upP?cYWda?k;R^h>` z)7D;=DhTT!lND32F4l2`f#x5Y{r-r&U8}k7s^s9S-wU(YL$O%UlabhdG?Si76j*@L4>&uKFhalc0!8F@*!5cPY7=H`lpe~lf=euJcNAdu^@RW^w!?N)^S+m7bn+`L4xql3V{8(s z`W5VF{e%dxpOW9Yyp9&-`g?CN?M5~T_ZVoi*VNMmTc`8eFOu@o5r)5hAhW6pc3SlO zMHfgr1MD&bEYA%*s@E*WL9VP2_;5ZPAx>G!x$830P|pNfv*~UNx;qqLcugIL(J-Q` zaw~>7{Tt*4yX_OdmYDO$Vn#rg(12sYp_wh z56k9v#vw^hLd(<_qfSN-ja~4#b$0esfsm=4gV~MRnQDlwdtF{1??2KfA1y?Qk#8G!PMOHUJR_Nt=tjTh9MT|#Pcu_Yw1es zP8zU^kFhJx3em`#TkALd*1t~~I1{}L(d`fhL~@zW)DD2UkP%-L*1-cBQN1odt8ko> zdzyCx!n#>A^~b9OPVy!Q56veJ<5|tYb^(4^LFdxbz{P}|<&hw)G~y}=pAU8jl5HMT zknSbtnCmWRCT8|T%-`B=e1^c>6WZ^EY2SGI8K1l;;`P8F+HB^PRv0sS=ji$B@=(x} z-X*>blo9=Cj)gS@zl7Z1;im`N09E&+6oHAUuatW}h_F?PFW6R@&S46CK1lP-jz zHo)Ct95<;6jC-m`aJ?Vkj_(mO%0Pi>#?72*5+~)np9MSEio|_XU4aIdZuN1t6#Jda zj3j|wOWlSPyBWu(n6M=TfBp6M$b`h@Od#~CP0y7n4xxYOZI8|7F9rk6+!B?J$FxY3 z;_Y^-(P&(RvPbqPBHPsY^7j#57xB&p=WYBCz~W~g~xVpSxt0G*4l z+JCQl2waVm~r7$Vj`<%V;q_iP7xIh}IxmBs{)&JqliA5~Ya<7@)29 z`>Sn&utZ)k-lZ?EL55@u-3!O2yU+$NW#nT=!)yzCfVOIQ{-V7ATGlV9s{@UXmT@+j z8kgqJ14YHe&WQAPG4srXINP>(hf~WDH$Lj*fIH?AMsT|c$${Zrx=94Dv4aI80CGW{mLHAevP^MaFw;d5I$E=;k$Yf_sW7~ne zCjs7He*gV9T{#^7F}5D>K2t$4vMg$6yQj|c@4EJ-h`S7D^{LSWytY|}oT*gwLn8~B zmhROQODz!L4+R@#gVpMWWl5_qEmnH)xvRQNllo4w)#gEjR``wTht!rRhDfBh8a;Iy zxPY#W@DWP}1qg^cS2AYl1h7h))zE%FwqC?2=!62fvIs>d{kN~|(%o~yV7qC}5r3Sy zk+k0(I~l9C5^aH4&-Oh@FUPe%Mz>u%aScAU#<+W!ZVml)>m{Prgt0o{Mms;SB zTmq_G7B>IZX~n4*#eu20N!z?e$7DHfa_OrRWP17T%$Rr@kUvB1S>KJPY5l|FjbI+k zx4WV)6FV)Z6UwUi-cJck`KV+uZeE@!BoJp}#}A0D`I{qE;oiEnyvM)P^c@p{Hw4E%M`xk?lf##2mgd(}iXt zPcFQRKP+Dev0*PB<23G;Ecx=&dad?tTh5;_X>iDBuF38BWR{=)EtnQ)B3G3T3P%tz zZAs9id;!TCFVZn9+6>xm(7EoRU4Rsq`P_S^oJ^8m*2kCXN<^vOuq_#Zy(tBQ=6Vty zCfL+Nc+Bez%=0GgGDZn)q27QUF{IzugZb>SWLsxCX{&v(;tC&th4ZwbiIFqljZ0u? zGHNKR(R=4zKZRtPcTvlj+Gk_@vY2Emd0=q=o=RwZk&6wUG#&{prS)zzQSBRZDdVFQSLiFv zmoKzvlT7amCIuZCilT7vaC@E~xeW4l773Zy%6 zNLlU8;;{LGxbB%E0^_Go7-ud|hHj4-b4HBjo+0#Lb8CX(x;z2&@#;A`rp5Pz@%!(8 z{KmC12pjRA0WZ0rs*w29@$vLybY3a*RAxl z4wg{gc4Gm|AamtH_3MU@*;RH`0BzC902&$Zvp$PZ;pWQLcXf~NSquU8BVdF3m0&Qf zm1%s|yqM4LY37#M)w0%`T~$%n=cut4@~RU^gMmx`UYLZmu7BtvTN`~k5XP;P8B9_h zClXcn4=yCI1`8Q@Dhy{Qx#(w?d*WYw`RK^h8%gt6e&%6vVp;gt}cO&z-DGFO>dXucHK-Vy(76>zE z)zo;dvx=Vj5_a$J#>Z+hC%3paiZL$kgJt7^)#%4}L(1T7p#O2N3OAl{#D6k)U+0-r zCa=fmcSHXfl_j@YoW+xCOvqc_7J%*>OENon^3SfsDQ0imVo__8m4^QQL(XeX!2hQQ zv~5tk_5VvzYHs_~I6LM9BG%NM-S28dJ51Z>TAXK&!9J^#U=dBEfS+NYzcN^U{X>ma zskg54A0kxT*Y)~i(3D48P(R~=MS{+z_^{s$cTs(TQ7V%fkx3*YKHe5NEVX@v>Z|=OrMK;TQMc{`iAS$r!>q zlfT(`MY`gh#qIJlvC~KAE!8Fc2-b<%PUehvHT7_1UHNOY31Bud18135QI~+cc%5-U z+X+t-1GM^>oh*S@VG6nc6Ghu68H68tQ${P>XbIxVl3V&9$8716OxZr6d=OI#OqHD;QRu9h<=68UB%rQ65^KoH1 z-;Pt0Xa2(Uv{espRz4B?qxkmyl{%A?Rqm+&{Ns;5rJ*1Dh?$8=OoJk~-vw=t$@t0x zVfSRK89fg>=9%uxBjg!sITNUjF>Nt6o)i^r5_-v^JdocL=OTcqLUkU}BeZKtoayoj zO>T^ng=Z~Qj9NcB1>bBHfoBF+CngT53d~?^rr&h=CNkbvl_n%<4gIQs<9d^GA+iYl(2K2_n#8t`Xg5tYFpxh`Pehn z*sm=D8LCH#6X{h{Z)OBYIpf8#oFbkVt8QZgWWO}@XWr3hRDnn=Dz z`&o{fwVId$_ckjN3&P*(YJrN;GHs#4jAokU5g}qRZ(+B`v{3)~8<%0k4DYbQ&5^Py zY+eq})GO6rKV)14K@%1->#b@n1GcdonVc_TEF=tE_QVr)4)aH}8atUVPBuP#G_!_X zGswBvld@Y?C`iljut4QC!RMP|9|{b_AsgIE zOF`}H=Ji`_S~WFYCNEW17A;>|ymUZ*wATtMl|N8kR8=&O{+8 zJnz|(u=Slg#Vw&qWvA+U(YkUEp?J@|HO?+yn*MbDR?Bi_eMC)tqBpwl#thq@R{+du zc{;GMA%A`xe!pchT&x~XOPQy}Yg0l!yYd3-Ymjf-E!8buJ(aGoOlk{$QmxKPJ9{*I z?%wUPQ!Mhh(n%DsSCQMPzPOcrw=TJmse6-Bb(-px@Ise8Ei^MI7^#CL_GX>MaSu$@ zhnj7rvJPJ~tE%`bb0nB>+mk`9p23^DBCg~HY|qua6ijNe)+qP%=4^za_2@N?(qCCb zLA{kNe%#U3u$0HV4z;g(&*RLR>nb-z!)IB80iKYZJxlV18|a_VDURo!hxwbxRawe> zc1^tSFIG;OVb^g*ZsGglPRb!D={Em@y}Hd?Rwm{`b?NqLo+iusB5qxEA8+EQ`lyem z^Q5v3L8~!N;hs(rdoruLa*B;F&fpL%13gsF*hL9FT2PP5=r^MiF9K7(XVKCU#KkkP zmdopJQaDp%_yuNSPVE-diYfWR^4Tcg$=Ptw)q8my4VjtllfXrZc?inQs6dTeczG0E zu3HPjz;HdwUS46qzM66FVo%+%$4{hSO}S~N@6ZaYFt2^4=5?=M{4Bcqr{vTg$fnHG z(WmN&)S)p>OW(0gW8mpu(!7jb3SE>cntt_*F^x1BHz|5mtQS+gpikPFD+S^lxA;FO zR3sfXaA2Tn{d?^g^Owu&Rh{Eo9a* zsHZ;6rXeLui7=>WOEmh+SI5zKjs~8!j-90~#L*}%(p#548X|l(=;bv{PyabjFuFpL z&TLv%rwdvK^`wCDpA3U1iFhw1TGzl|&nX{7 zMW|^w?4yx`h~H}Lzs=yK-7@$E0`=a(`Oy^r2?QBk&b@E_^hAr-cJ6C|BdJ-~ZHQh15qAx|y+Es6szFigbAvRUlv%-Oh3& zu=p$HaSDX7JJvr||4KV?F?w@6EmI@!G8e>TAYl4}P!t%palR?9I?vb;5)iFLMKK*S zD&8i(5N?HBLd-HAb>X0GTeXYPfNQr8n+)#Hq#)8$QP6|Ax~A08%?HJpT=X{u`ZeKsH>mIW}KB8)PPdU$4Gf*v3B zgJof;6%{ki7Bp9T5lJ;=v@Di|vXi=-6Zt=X|LZSZo1Wt?*RiynaP(ez64sXsgJr5O zbvBY(WIMlSVeH2zQ}zBa@+|m7PA!CUXnwzO2r!unWp4V(jN!mn=gPU0D8g>#Y9}JLb=3XDQtvCH#inV%0dwO?^ zYiu(d#Lv|~P8U8{PF;YQlein=TcCy%DQ+MVPbs5$2b+ti+kmM2mxiEM{Em{Gzertn z^$-qz3uP5(o)%BVK4E&MkgBV2yxz^D#RGdIWAV*Ip}9yMiF#Ka>i0SNa(zXRze%3@ z$Aym%d$KfC z$Ecqxem>TEEK``^y`ITQTYPTEs}A4#kFZb}uplKG%+6DHy>Nr~<@H8>0a3SqC1jH} zIiqJD3NcsL%xf&Jh|-qR^B@zx#DXFUlQV3d4@zVyJOcu(j~{F3u@8x}Sr%{sUjcG7 z$5)!jouDUORB71RBT6UiAK|e-r$tbo3CplRUi67i4?pt2dB70SofaF)3a)i=Q1r7ThunfE9R|neJGOhB78Ul7{14#h`=at zjL}fQXN1J;OjA$0$49TqgKXJTmGX@#@^&Tc{kmKgp0W9v$^=1F^wA0xiL@@$trj)M zInbWaU4!}tE`gOR)3QMTppsY$KF1yIXw||uJod@2D4a0RP#ZFl)u(RB@e_?WRp%h! zC-O=e#Ll-&Ozv$1L!!GAW_?22WO4wYDN!U-MTjGN=7 zUb&WfKqzOFj*%mXeZCZ207D}$>FJT&iVvd9LE2-h_)LU}JL};mE@(U)$~vwPIk8oB z7#9RWmo{G}v9rX(-03`_gXbVY@LUxwr^qbjhe3-NN8$d(Dt0y3htaYMtQnwUpNYbT zjuvJrx&%+R>RG}`)79On!Z1BOJuKymW`+l@Gd*s$?cO69-9_VfP`$tY%~i`UDZ0jk z`Sag@2=nKkx(TlTQLRVKUGIuiN)Tw(DPOQDvRvh&w>lD(`X4iM1}GINTi7)L1V=7_b5N6P=uf>vdq1}JrE0?&b_)J1;0I2l6?JOA@r z@b7tL9a88y809VXuKuCd;Z;?~qtj4Ox69}#q}-BT3C*VJFRdA@DjrAF-RVcX?mP?Y zncjN38LPtS+iV>79scv*IgkQzPJLAW{g+I_6LoQ`$3R5Ydp+8%#$Wk90KT6Y6^qMj zk<~@T3ymsjyeFadL}s5UvtIL`Y2XL9x$ulrgNT`sJDDV-)e6p~+Z&szkmzn%h|u-~ zv5+?Y%PF7o(^g$p1*@K^2>rANGr(s(C$t2fvgP;MdDQsiZYfYy~Zw`Uhg(%Is> zQ;ddaYkG=d`s(gVR@Dna+C2ndViHRF>Z+31>e(1#RqeqR^7v|v&FBh^@8u<$OGs{q zM2WQ;Dria?7K7!p$iR}R663RTm`@r6bF2MXRnkAGXnat*j2IJ4+tiO_2|b^6$^x=Z zxs(dEKlSuq*espu15rhiFXnZ(4`+-b2ak`rt;Hl_UB#i^fSOzxkhZw@++k7%DqYIA zH==uzSvydRRu5xy2gxi}$#W@BkI}Wq$f~~f^GvuzREP4O;~d``QV=se11--OKu?pN zK5e&w-W9E@Ft76ZPs9T@+@!!B@Vtk?@z&B`dvQZa>sAU}t0^PBw=wFz15&$Fm#Q$m z?T1%@i-#x2eMY?w;LaHlH}N?L+#*J~xD4>5Jt<1B%tFfTTBZS=+-7)Vc%KG@ z;nfJMw(#1yVgV=mQ8fbBx%?tf@PlmHhg!&dqK?~TW-V3}dEt;8xYoj^0gPN=a-ob5 zBB`QFd&A2U;1wK+JFB9QTK<=763=%dSh8RB6qvQ^g>Z28f6b>k@~&d5XvR?XAFy=P z`_mVLC_MZpsYF{>)3vU6_0m<5dC29n6uxZU3i7X<`w1MQxrwP@rxLa~bYJ zQ!yJ!Fd-e1Hj{c1HU^K0^`Atup}Cqzx2UtbRSiaWS1URr>!Q7Ao~|`+Rm?L@Rhia` zyoP$o{v*2&kGdIc7G@?C3D9oCiCsB({`!wPzQAf~j33v|SRtW;L(nhm#hqqeIg_)( zQ5Roequ{x|Qe3LiKC{)!DEv3AVC<(1CoXB7mQO46A6gdELPoRv+g2@vKQS-xQ|Q{f zrU|ks_QU#R{db?36+dp?NuwWYvX9(Xqp3Vx2Mvm(UpYqT^|1@_0tq47B<*T7+N{Si6G%)kAJP);dfpS+p z&iv0~%1k<9qG{7o#s%EtbiVMDW$OTOtdFqu)h9y^Q)c?;SeD}!-n_3J?D~m-Brb`TH7v;{P$6$ zpxTwv8@gi@T1Z&N&KSGwCD(3Yc?6Ye*Q5%*X&!NJNnLeYh#&!czs_B+v;IZgkjI4bm%u%zbmmTt8`e9@BUz7=bA z+?aI4pfX1#m)W!is??(dk()DdnQCKP?++ZHnxLdz`w01@%=TYyo!+Y7nyvUS`sBAR zRC4Y45H@}(@p(0fyIl#LYvxlv?oV)&LF#pd?D=kd(q#0edTL*$kFTm4?;O>m9iDJS zI@W8UPlx_v{{Bi({jimDGaSv#SA1!muES0Mkq&YoT-s(9abBuH0C&eYWXMQo5#?wlOD%U0F z!p~3j;sSNOyJ51d=&6IT9vogIKc|phL)Zo~oo8gG@Hm(vv~+f%@RgKBM&PIQN$OZW z^sWg-N=9SfwY~cMjP77l+Hy?Yklt9}Tx;wVNrpgkeXatcT=eGpKq)eqZu~p@bSuG} zeVrDGh4MTBG@Cs6B#FB%FBrPHo|GdDgCl>Xd==QQ)3_s0mhsI6NY`^q8`*wNCtyUF zqo})WoS_U8UEj% zJhSUwtk`%`pwvc`0^ipyU3?|ue)1`Yfy+A>c^GxEsLegb5^_=5efrGcYE>j6p?SD`&z~pl*yOXuld-o0g-}#eSH^YbC%$b`R7Z!a{(!ZP&P_3u8HVday6irA zsZu(f?3!QKx=?~68>tXKQt0c_ZJi;g9%U_fMPAb;?)TInYLh04J+}KKkr~A zYbyL+Fv^;AcYSF6byC0*|ED#!1Mc?ay8jCc(kVTUm~Rjc0OH`EY_)mE=wsd&2yw=_ z7rn&-?f+o_4h=5sM2xTf56|t6RRtXO@t$BZncIK&}SNs z1FkoX8MvB`!CnVPDWt>`^CEe@74(7&6Kmdj7MZh1=!#y1=I=Tn1s-~@GzHS3lxhf; za-A|R&7CCQXR8A?#%{T-T44=I?jK*9eIF5YBTrjd=C4Wz;Gji*&k<)Odas|z3i+3p zNtIBvq69in|EGVFARUAu!Nri`4141dmn=rAH13Km0?5C0tY%Pzx?c@!HN$UbauP>S z_R{?AFKec~oh|j^rja;n79!gC%mV#G+;yRV>>K`N-AM{)u5f!{_!UJ3Za+Al!T2rUPiw9U>dph)Nu2{-ZhjsK|CGIq8^rQr74&Bx4<=Ds!S|~ zxt}k(oy~X_VA^hP7kgz!@s!u9SjOIRJrY}-QLOwmtxht>B8RO!Ptlt>^&+wNZC7Ui z5Pmkrk8DgMj-!XqdHvGisj73Q-!(oAD>lt7IkH2?3gdlcMl;cH*h8w=%bX*EG$3y7 z#cToq&wLk=^1fJ46EFzGnVqcDG%#M zub3_iOy0q*23lv#8d^FXrT9#7=OUQqly^t>XI<#I6`r*)^XE;#D@(bi)rR2Ys`05n zX_*hHjtTp~$1q>>F7?$DmbF*c%s!A78RBoBUGli$en!?^3}8io`&=B@*m2my1hAwFQ8y25lwRr>s(pSp$h}*fIa0z_A2sH7r3cz| zaD80wqSdT;P;2q-c*6c}9LbC9tMbnD{rK9&>x5b7tkOYLpUc0_yX$kN=)RWDA2SN# znu)fZzUD=v+zrE>V|)ILW%|QVbPLjoMNH?xc(!B;cB)cxe>EO=F!`b-)78KZmwQDjKIi{$5(A2^r`g##XYZ=7zN%ek*Zzll0~tcjQWbWL zIYcY~*FZ4Yldc7mKH_Y_)I>zF0m$$?m5SA>43ndvMVP*=2_r+87QJp1D+pv%18Yx- zG`-ue!Z4Vm={E0FlZz@jO@&0Fpj?=A$*Iu=%+;zB%O=j0)nGtLWf1@zgbmGtNF2JP zPIscqz+NOu_i2_+_T#` zwT?j~Vf(CE=(6&|3oo(~oLEuu=4NY|1rg}fc&5k^XWTB1s>Eigpy5}NK-IxH4nj?n zId$gIjEgSuLE^aRUJaIB1QmYgnHcJmLReqw1~fHBS1z$UNJ;bpn8v~?Y(AA*@@CCO zsx2sV;$k)OIoJ{lVdg{^=*oR9y#@r6o^ey0G?lj!gP>uRM{L|7O&{8%%B2mpX;Rzl zUTL5m7b-N?P+H;-1baZw;N+Y=yCar4Uz%hav&NqEX)I&P`l&f~hOFJ(Xqq z>)nZ@*iDj7wvZ_OnvB*?5a>9_>sF9qV^Ps|A4H5;!07F#`s;6uMJ0MkJ6{DtUl{%d^m;jjx*Lom; zh}(#FhNvEQLT9p5nwhe$JX=Mjr>N&CRH;f8r+0ydj)38E+o~xtJ9W@nP^E;P^3cVa zp{~;7At{)1+-rM^;b3WQQUehWy(EA+$X6?6p6iX!`5fs@4s~IgIA!M`xM$9SBjwgl zlI1N^W{#1O#TIE!0HaAU5QOG)keGqEWe$QP$mJwg(q5Lq803!6iJjEZA-7r-A_%M% zxCW$xWf0`F8CY#q(y0T&3xF%;&)7_N>;P%JS6CQTSUG~sP4UtSnsCN7xTbW~I0a>e z&bR1<;__{TTMRA075q+2dd)kZS#~dnny_oWQJznULv|wFIBpS13%OFF5E5MOW`JE{ zgM`%C$e^Aw`UzYu>-JtFGG!vun9_t2>{%qQ2+}w7@lXhKrox0zES3gEONg+KNa9uq zi+`q4?i0z0>V#M86qfcz2nfkO(>{uWGNAL)Y)CqJwhf~R1S><)S01F~lo*K>2N}{l z=tOD=l|r*{6r{2hd9jEIbSo<)B^%5G6E5Yta#x%?Si;p66Gz}?@?aJ`!5T4%E|vis zcX`$YYY+v{EpdpEXF!H-LZ(ei$^2wE$(+lmd^kP4rhmqh+0!60M~>i0eSsCeN}^C4 zP%O;Gy5_JBPp=tA<;wxoSkkPxje)b}wEJ;W5zd-#lt(KICen>qIZ5Mk&nTfyaJfUG zP>W>+u4729E}{IyL#yzS;ergCTsWrDC`s$eTn@;gTqHK3z?V#gBS3S>kVJfymlkH~ zYyN{*pki8x%l6Sm=S*pmo@JqTITY3-uyy!}72@VNcqd%h`G85{cMMTp=DAS;;#^j-O_|OD%?G71JmmrxDDOo7;W|Y%`acRMfd74>8 z_DUxirV6IQhJLAP zBJh=AMPMZnA|pg14GNW{Nd$dadvJ%5Ol_nnQ*3V8DSW!4N3=5c$i}iWCo^QV*%rA*?AhtQBDriqA@&cBImjfD)pK zsF5%g6&TKa5JRMu1_$wlr4&zxW0j5^=~MiUj5;5-7&J8z3RKL%ClcWD4EMa*R~d}Nw0Ar;YvJiBBE`szc}Jw!&1A*jvY*p!dWSNb zUtP#6GxCx&XoI{EDpt*iuJX+1uSPNVnCKN9v&yE4Fi}GU+9_SiX#p1Zg;xaDcVPc< z3(8CkNl!D#JD|2h(yGZ5RSYmPo=y@(oy;>DK%vuKmyXfrK}6z~m?3aOa2@R8v3o_! zScBWt@(d^!BvY1=cxgq9d>{f&IFE;G6Iv-c#%O}zwbL+%hCqemr8_d(;13+mA`|}b zLPiZkAQ!1KSg~cOC3suKxxip69?~lGj#;xi?948za3eyB*%(hkVgwHHlpGSK2Ucld zlIRGBd=?c!JY-5mC>}p%KYo(vamY|0O^GL&2#Z+YDiDU3KoJp6Sw6GaQ6VnGvI9yu z8c^WB+_0ALCRd#5ESEhm#L5vLu^PY;79!ANqE#Th60pT1l`&&d517KCz*6oEHSzLM z%4@R5J*Qyw3oDK3l^3zMa~s5yvw)gVDL#R{iabA%m)g!W8FvYU;EKTHDlQOpxH&us^;o}*tu`TGJ>!rMx7MwIEq40oY}vt##sBKgeMoe|MFI>7*X`} zpeP|zX|sH2>y`s$!pRTg8iKK5T6pO-dRcpsXeBkQgTR&MN)VqdUEwd?Qdo~z&L9zy zY;q)LwLroOSn+}=oY@vvArx97;49n~7rBO}6~+SPp9UlsKP0*Q+K5rHb0y;8jfqyH zDK+fT$}%zy^pUy<7JfYn)5sr$^>D2yeIMyO+h%aUup;xTcRtr042h68hTJ@a^i;iMRaPbM{!VE0l;8jl{%BLxy@ z!2sDsNg-HdNo+PJu2?H(8W1Wu9zriz!iM3`~dvm1V9g6BTz?G_ECojL_h`16m}Gq9h4@tHf2bH;r6@f10aVzA=SURakDs(@AB5^qBwhALd zruS^7!7K(z3zwFGtPFkDL7;}a_jL^rDoFz5#B(Ll%4&epxNI$fE& zxf<`*g+wG2@tI^m$rD(e<}ypC#VTVFvc&}~(v@T70TUx@Dgk}l18zoD@uZ;A4T*`-AEDBSVhI!u zw>28dxrKbw=qRAyo($Pt>$XF2IN!UdOs#!QKz958cXFI#N z85c)r9iZ*##NU*Np}nIs^xV%T7l2xVWff2o)q*yWf`y?BM8}~?Gv*RB&6|P_C=K#$ zOC2GiW|v%xLUk-j(8)qa*I__a{SibwzSXZK9_Nf#sH1UBphtotWT>JZERvWm8N6HC z5}<~gJ3j)O2wp-38vzk_+84aR)F6v~Hi)E?0h)*$1uY_zK~}>Mot^sGxgJ|Ef==;* zI*1uc*kHt6V`4f~?9?jN83HYAA_;w(UZK>?3yuIa$4Ud5s?`o6hhZ+j&6=jRPZ>=e z1N^aL8m$aS5ugg2k(!-)fr!4LNe!7Y}-1(V;*i?K-*@915fL01zI{C*P6VntE zdL@Q>?GQ~m*$M^&g-?lrNds#$YacQqK0NGmZgugB-WVAf0i>d|M+Yo-a!ONW#i?M3 zXdpGCwg`vtL4=foXe<$zDZK^+qdBsOSZrxdk8-LKjiEy|L{5Y$xh%{j9y_EPM+1+x z0~2NLxGC^d;Xb>g6P-pRRjy;x0;)|A34=7L$)ZHtkhcCC>Gw+7XX9*Dq{TlM-qIbK z5=tp=LZ`gK0c^EnZSCK~gH!xvY4`wvUx~TR1_5u#?pnhp0BD zH>cu&b>2+`ugVw;N}Z|Czo zjws>{euiJIiNc(F6aqxxgo$1hj0>63!-@!*WA|`EdDt;BbX;nW(sp3ds}vP%Tv(zb zb({xtCM+;oays=&$iW60=Z8*B1n%6>7T_7#cY!EO#aTuf8wExQ+f+xZ&i7vo}~LB=BIDJc&8;)oN_Rz5sUUjwdS4WJt5_+k+!wmqASl9I z&VyTSFpj-S8g<^ZpcE7h2eptmWTb+VC^xnZtqxLiq;WXNY5iWDuFkwt_Gbu5f#jh7 zau9H=oi_z2XIgc{VnEZitOTA)v)3{uhK0q%s3W?7RpbH_#M!Ar4Janp7d9AQy;$F*eIQE;M&aO`N zVqNmn(b1(2hsCQ2BvBS47%eHe1B_TSD%M4xnhdv=C5w2att>b}_*!`gA`8@%mbnW7 zLnc9I2iC{d(Up&>QduKd4AW<{WkE*J zhiD_cGNz0^t)f~(xNxJIEfv%oOi_Tfn3((Zy%Ud)PY-459LfjXq_tU-m|WT~RM(Dz zghR-L(YGFsmjDMyv*lKqUEHRYlH_hB0Ku&^fjkiei0xx6Lkg`FS__fFc$(OC8q<69 zN=1=py3qtol<-z$6zIH@D8-g4G@(hK-hk0#4=ReuSNv(JeZf6}JrbuxI@PfSG}D?J zsH+Reii6%1f*z~95fLYm@A4@SFMRS0!I7mo1Q@cFI~PlMWv(p{0)z9)lz>(VBYDbs z_nacdKuT^8w1TQe+MEKyH(6mGtH7j+N31l{W&>xJIt}DK?wA3E=O(SpWQ&7ZSy1qj@M&deHBx$UFzL`H+CA7n{G)xu z)1K)k2b)HoM$9_H1HpYD;$jtqV0X<(Tl1;t^lp%E2t@;I@F*Pw08di>W-`Tr%IK5g2xDQc4^PoAVUc@lZ=f}=#vav_MHRlN!e8C;9++lMFQb^J5$-Z0L6I3HPE%KAHFOBW zYk`QV%+>&E)t7nAdTnWGby~TpGnuRfTY2Ep>4YW%RVr#Ct2OEnrK;Q$QpRXyHl23v)CQKMVe%!cm zW7RNN-_~bfeFk1p21@OWA3uJ=_z9>goF!AIOu-8?{|~VG@1vpmSiV`BS+*|nC~p_7 zidZG%~nxj9Fe7*Vl4E)tIuphU$HuR|d zM~xmmX7m^wBja#@Oq_tXGI;MXeLDZwDELJ}zout-@-T26ySzD%diM=#e5kO{5LLMZ zv{j>3OCxQ7Y|JyktwI)F5s)LWu+_Oi1AIc^KmWzYlj$??PHN($NjMm>KrD2>{q`F% zV&w4Q!}moSjz7_c;f}w&m3ydOx%g&$9*PQ!;e9vWlD;GD!N_Z>cb*gnIC4jsDp(7oA)?7cU~ zm<$~{gq^aX>=1;8xR~=+O^8@c3r3OFz~PY+7*(Jgn2yaY#(<0|R^(V4afU2~#8Shtr>6dm;o%xM6)KA|C8%vgL4fLH42)2HF<0en=!OL!NWK6zx7vUXj*RH31U)s2F( ztE;bPIZj|2_UJOK40K%f{y}yn4vO0)?h$4wk9bW=lZG?bmiO-i04LpLYX!V zA5Zeo*bnb9_St72WM#;ZA%h1G-b-!Jpg|t2zpl@~`V6dN22eFF9Mlo22?q#Xi${zY zfsZeES3hCG#K}B5rt{oj@#gmvDpby0(5UmMYMc`6Dtw*+I7V>Q0QKF$<08)sNjYaT zkIEiHohveyJt?Xaq#Nf1j|!Ra%3vr%BNM)})z_i;gfex?~-(0;}YSJfjgqt!&u#;1UdPORT)4idG+8dDOW8g%oAJ%V;*5B^b^OR zW>s5PKGQmRu`R+hue_wBDGWb)2M{^bTExR_dp{SzkX?2}cOHOo(!^Yk&`#GT0qvk& zb{h2lUH2Na2M!RN9(Xy%8xI^E_$pxBxbgUA0AFESGAjmLzXjZ zpAD7ViMJfQ>#r^WX1S}_S?}5N1kj!5fg4W_H{0MUS}-RBYI-kkHt-V^9C~9WO&vaY z#@-WW3>rUe_tBGf-G9O^`;8koa@@`%#=7q`d`vYSF=nR`^=V)V0E3;>cOIVR;K4Yj zJCkj6!3-QR2Ax*J+3P$xuH5ZRq&txyA)CTOR1Ay^h@8PA?2Mcy1ZNNL@*6x>WdJ*k zOfaIdqm{l+}W;~!;6+|FKL)*R1ALN4kp%BR!Z}DrnUuJ zEp^q>Xx?wh)hgsj41DzN>8p@%hj^2ui!lR7jzupIZXg%vyyc^;oTfzk&Atp)21XCu zXXI`}hVD6N&pr0Q(Xl6v4t&hPmm&Bz0N<11M=bcXg0DF6B95PwuzX8NXRSjLhXYO% z9|3+(psVpdYFzX_B%n;HI1)6KJw|Fo8eO{<|2C_i8$6NlA*H>2=8WkxCyyU9y>qwu z$G-Krt2h7Xov-`&LmPea>l`TtiLcx=EG=&$Xr`%CdZ zHsF(A+Yohh_IV8A1-0b8Vwq5km9Y#;zebC@Rd+d&H4E2^{ zIDSlv-v+@CR`7!fpBs3~f%1%&C0uGAwaX7Oz|#io zy1ILGf)G$}7(qx99V`62L?Eto3HSJ%g5OsgJ!jGOAG&tK72j&R@%gr!pKe_DXk*2L zjZ5!qeB$oL#dkF>THn`aV0{K&Nd{0gs2x-g>gc*hQB!Rf08cy5p$n z__%`aREP3gj^X&If?w#suax3fz}9|l;5>*UVKwIlKg6J@QQv$Ip-UVrJPvqrxcPv< ziO>^ro()FuTM|u(k5Qf$JU7^>z<08c%HfUv1Ys^SZO{*>2$}yX~|8UW11W z-FparDQdrw`;Q&Na|1t8;cs#9rz-rJNR)Z0;HYgM0G0y6HvydVsKbnJB`|@C;$kF> z4~r1nf>0bH!r3FQ0^*S9>FI9i)Zu&4_KrOcKWn3_zuoq)FEnoWM&t4a8W-N(IPcEJ zId`nJe{rqaV!Mto<6jZDxcI9M&c3~I;-?!+&u=U}ud)0p9^#yk|KL6du z7rx!N>XF99Uv6A@@855qb97^~E!p4k-YN-;PH$}f#*i=iNXXCoQlouo<1O1YHhNvd zZNrTlZ+>fIR$l|ElEYK3ZEW?HupQfz@_QQZ-X(zMAwKMoA-pfOFhBW8wt>+Sd&YBt1X^KG_ooO?$Te!tnFdfPw7(qcv;}z z(g2l(`a+d`e$~dG`092CoxbOYQTq-aRQp~OzrryC|H_R&QPKAbEPhlpj|A5`YFTC7 zgL8si?;Cus7$I>U23hzh^*92e_D!lurQp$_zu_yf{mzX!UG432=MOskV;g?%JB>S5 zH9q%5TGl@4cTT}G>*Ku zal%#X$6dxMb{jsU;@rPIgqv^0TCPVjY-*^s+9u+kdQeFIVTVBCy%xNbcKC&qBTG24 z*3_`@15RpkRCoF}IYxb<%I;XT!FA8QY56sKj2bs$@SY<_j>NzJ!f%b@pSk&aQGDgd zZzXv|sD@d=8hMH%-KPHp+8Dyfx+)@4X$fX3bl;-I=$w z-SYj$?JqR0eX?=o*Be*-efPP?#x{S;+nI__Jis>w^>*kPlrK4_vB3r*M)iHYa@?g~8)$x9w;@`VMuy=j}qCm*J2`UgYuuAMl=+lIIQQ%HO}`Vz_! zUL37$4IDl=f$@Rm$cq|ym*O_@fF?(d^pk(@9i``nx9gvJqEV_Tqz#@J$kO2P z3Dfc7yj}TdW1F{!eCQ;?AldHS#gqe7H{|bqf0Gagjv1P-8y#@M8{Y7S&C#~lVvD`@ z+j7Y0Hx3>9#`Z%uKl5heH$MFQH)Y%4oGtFx+O*h?ilT9{x z-RoXAVAp*bE51>gjGYr9;oLyw)*~tBA>OE9MNrLJ9N>T4xpCEFjlCx|EeDh1uOMu< z;URzfd+2M$4c-W5eb1Sr8rQ75drnA=FbaA> ztz{30`waSk&pxxw&Ck8( z))#hIxoXG1v%c-2r+G_n{ML7DedM`*Q?c=uTMgLs^_IVV`Nhb|Chr;O>6Sys)l>B5 zeJ7w!-~8q`zkTQ^%CCNS=iTvZKD+OMFV2VUi+7|W<{r57b&pP&jyI$;rt{}uU32Ho zZC`xEj<-Eu=ka*dxz`+e=%I_%`uh5os2z6LVT+e8+vnpq12f{1Tb5?qzvbB}OOC-$_ONfK&TilBQ}Il_@nsRZm%2s|46}RCv z-x)f!W5V!##*foKiRHg*o9TZ_&tm`$M}yunU^oZ$jPj+NLYxg42^7UoxrQotoEknW zEbhU{!43#7x`!QZA*?Cp(4Ys02WZ&go*huNc^$K6&OdOoD<5yW@1@3_KWg0k-L@N6 zZGYSMN8kUGNe{lX#{R%dlh6g2xE9#DtCFpFY@+R_EwDfDV4@uDd}O@y-)QqKH{5hH zZ0`Y^Y`W)}pMJwOZ$qWvKp1+~)$lubYCHaM0bVJl9C1=TjD`Plq3igd6HkM@W5w+; zJ>`rAr=Ra}&$(B6(0$4EOO_pu@sh)jn0nhc>pUKJe)2{maXsMts}4Blvc*RoYsn$U zojB>fAI$#L9R)CL{Da=3j@{k{S%%uBYgjLT)*M{Vvt8pS7P_6Un-7yuTn4HMRY1 zKR|t<%1~>lxDBsSn*N9_a0gPM)3&A7D^rxV&?gvLxcX=(Tu@BBCDuily_KyI{ERV;8${P=GTY%A`H?5~h}a;Eaz0cEH(}dOH97 zt9q`v%i|@>mSJ$%vcqS7>Dhx%Jk7$c&)n&FN+g)hx%~6iE?u^4`u#tIr0425DEbaR za^_vnc6}x|0h@K_GiGSHcBkJ1KYHGRb1pOCyi2Y#4DPxe^M# zvx09Gcxyd3C|1imCt;pEdeh=_LvK+qOpHu+VI07JU9;RGBdco_EE(d!L9PekJ<$8;%ZpDu)tCj>-#71p?=MLb2oE{+4DcLu{uKr+I{%9PnO|_# zzgmmy!q42X@R~ag$#bUf=wo{ydhvkk?k#|M_dVaA0g`!N``O~-PXuhq;YThxf_07S zy!%%fjIhgDvFL&;4_Nsa4m5Kvyy^?aEV^)oC5Ik$Z11DLfN+a-Ta7Mymr@lul;^r zcNeHHR2gaw75A2p-ZFM(_l$|-@tIZMh3dZ~>L0)AZ2?NTD(cmo8?5KmoExm{I2f$w z@gpJ)iY$($YAzSDrc7Wn;Y1>ZMH=HsnKN_VK^uSe>BhhPddJ6pv*elo?0fpROP>C1 zxq46}7?ciG3#|XNZeFxzXqgsaW%BLc_MxYj0w@7sP$#J)D-vOfs%cOoFY-gLiBA^T z?T4LsO5dYDg_p&T|GX5}AvfPozu)?gzKcKYis*msJOA>&r~ZBMgWq)x;^nyS!SB+= zN6#(b#h9(;#p07sH^Y(>PVRf`XMNxP&EiWxYsq0p9C^qWA1BpCpRxp> zlKP(dH3O>=E;;pI`W}CoULN_O&n18lS^0Gu!pjA5Fq)x$?fdYVeNX{u5P(THEM`=XdTqbMox2 z+0&-tUwM=tyvDKNGG(>uT?A@Xm5VZt7J^zW8^QBIe_iCNdurT#q68Ox;GKzF$%sdW z4+^t{Mu(6&ef$uEz~*<(e)mVNZM*$P1E2n{lfM5yC$0ML554eTrJwY|f0YiQ$7N8a zMdCr37F!G;T&>)yIz4@MHC!MB}E|aoO^d3i7xwf6I=Jxcs_Gc=r>=gZc2&&$4{khtF946ZIdgRwxZpX3f*)&4 z30n<$(dQlB1)v?3xR8JyVetU-P;$t+=XQ5Zn|ILGm)yVQS6)8#rQe2HT9FK?C&MIdkXYLN1;IX5?|;&N+vb72NjFgk5H^E*P7o zC&_u(@Taqr4qCe9RbSiVp`Ts+^6xMI`5!L(>F+OpDf(qE{T{tc%V4!aYhQ&)q3u6d zi=#T&ur-0#MGlO6Sw(;Tx}-i{t>K!S)(tUU7nm`B6bV2WldFf9X2*J4#v7`U$qUy$Z6uwqr@YZ~-tD9AdA$8~UjNip;wz$hj3pZ@`swa3Fh z-|BNu4?g0oNj(dD+Gq2ZyUI^bP{*uyz9&%aqgYXy>?uHLrwjwsH7eXk1CNS4WON+x z+|Z%Hb3@ET_#hyF2JDcjVPF%THdO1q33X8U;}U7iDN|U_mCu>I3*K?oE$_YahsV6| z+e?4(`zwF(hbv!J|M?%TT;JDcV0{K&X$D+AzxV^H2{rY;yI$OG#n<28cjoNQIdj@) z`B2a&6P2fn6_x0+W}WNf0k6{NH~qlAj-Gk^$LaVYL-opnERChF zN@Q_UuRE_%-HC+GXG$q$l{;qYEPZ_9NVLY7O2R{fpM`jrB8>u#6Q!IW`rHD!Ohu~0 zMtT#_4*DRyQoLjd&_jdMkvU~bRudfvUM+yHZ03QD+ z=2ZjMw461`n;fnDlLC89*X20-uj0?{v?8o$1LOL%_5>YLQyOd9zl^+!xu0u?wA**y>cdC<9C9eiuRT4d$c3el$nsxXV%dR=3f zMeWj01}gcrga}pj#G#qIjDT~KoL1GBAaYBF3L}(QA!RF!sev-S6tQJbPcMGX(%m_~ zyJLQL`~0ri?41~NxXaVUn2s1BX#) zX^3c>I?Rj2R5{IH5WGA1(|c>Ebb;l&r(y9MgAymf>KKGDoido&>?IqJC^@y@t9XK$ znO83^YB7h3&&aTTORmD`#|)F^c;d-&jWwqpS7E?PORF&L^Saq7r>%Nqz66%O=n>;u z2R?F}PRX1+F@PlZVRL%xPq0Rt$uH*NG4oSpES*+a&Dcr|sp3|*5HWaA9tx%r7`6)P zg$pm6ytlg(-v;ot-QP>(i4k>&0`@xv)qj+Cb<1LQrR%%PastGF%v8Ab>QvxG)gFbO zG!iD%Ix@5AW>HFbCpYg!lLI@|At+~d$*UJyTdofupFn~YL3vxnaP{UUKLFK9_!caB zMQ*3v_+Ci8C5F{ZE2pauwFF#To1}X0=cQFVd~kaA#~1`=oHaZ@83N?iLc5v>u|~pa zQNTIS`%oq!RsJGM$VwPtB&L8RPpVdJ&D_CvXTtNL$gcvftu8|?>&u1Hs*H=%Ci7e; zw33G-Tj2+GJ?tQ94Yf*8P2~+xqYBQtd3tG8L0(`@5jM*UMuTc~g|+}f63OB7s`rFd z``Ox*GnhJ_xh*IEUVtG%D6XA^V=W zy>rk-74UFDQ8_m%(xQb;VYV=@=E+$JBL!63);F`rhyp2vH4wWcDHt(kh{{biQYsmk zmKLgNPG+qbqSjF{tb=IEq#CSp=7y(GF8Nf|0wJWg1fO;|GZ?tK*AkU{q(-z!oSu(e7pi~(SSe1+z$=Gp-C4x{atqF~@&=xWz+AKnQmV~qs ziz##sD>AziET{C!jusl;B}^k~1gDX~@`wwcyI(+Q&n}4Bm@;kxFWP61jsvm1`VgeK`&7namukcbTeO($wguaE|g@~T!R$ToKdsWtlpY1VU;qX zIi`7xYB7tjXzE^T_B3O2>{z`ABsB^*rME~ZCWrw3t@oH&2Q^;y@kgCb(3sz}@Pf75 zrK@9&Q6U6FCME5I7u&>TL08dw?SgwkHN!B}#U}y^FmnZ4f@|VzkK?AiZwdPX!Cd~} zK|Hw~jkA5!D@DTa9?dWsGsb8nXQN+0smpF>h(;8|8yo=INe7o)6~vV}RG_Op3NP9S zyQWv$M<``E6e}JgN}`2=KsHFCK1yN&Nko)^6A_{`w-Mb(Pv@8xEEx5MFR*;1&tz!;ThVVEv9>%cBrbThp2H5^lMYS_G_eOrvEKv`r7m zgVec!PXz)%1gH~CZVJQ*uFI$h1`~t^k6LG_l38I<=3M~CJ>2_hTmyf!Qi6x4bRYMO zE}wCjIx|TlGg>lb+&9ddE)Np>ONNNjIlaB=#YLP@bW?8; zVOVj-46}AaQy4uLO`V`NR$vLM$vK2rEqTckPAT^=Lc_+7#yGT`lxXVgr;WS{AFjP{ zTzLwGBAGLeNOvs+tXWaYM9)GPc_)bjX$sn6o5@eprJiW!R0)mcC^8yaq3DV#S>l;; zAz>ReXupW4?jo(qJp!h&S}q++(F4xpz(A|AA`Dr`aKx>gsvqje$`N@dT`6;(WT zYAB#XJLMWnqdVr#-De{4wS2_d!VKVe%}tIHvHddH$cv>Ul2`76l*^DV@uGzn2O=ss zlpqL6sSDO?36d%2Yl^5zCNxB`h$^iS2lU|_k5aFd;L1k{8Zzj4RvCiKa$aj=BXrdc z$yYtTrj@2z$<^AP98Qd5;v7wTO9=>X!1#F;_gbc+;B)ep#Lw^eE(q4%esrj8Xqo)5 zO^q8XLJI@}iYE6}L>RGSVf^8sv#SuAA)8noSmsx+^vnzL?M#8x-T`6A2moPo v6|AZ__L4H6u$(xeRAusz0~0$2hvD;~)EwRWJ7zp=#ZiIl@C|RHx literal 0 HcmV?d00001