# verilog lang=es lenguaje de descripciĆ³n de hardware digital # herramientas * iverilog: verilog compiler * yosys: verilog rtl synthesis * arachne-pnr: place and route * gtkwave: visualizador de formas de onda en debian: ``` sudo apt install arachne-pnr yosys iverilog gtkwave ``` # recursos => https://github.com/Obijuan/open-fpga-verilog-tutorial/wiki DiseƱo Digital para FPGAs, con herramientas libres