From 0513670617ed0105c0631d02172bae9f07c3478b Mon Sep 17 00:00:00 2001 From: Solene Rapenne Date: Sat, 13 Aug 2022 12:10:20 +0200 Subject: [PATCH] add a leading zero for issues indexes in the index page in order to preserve alignment --- issues/_index/20_ISSUES.html | 18 +++++++++--------- 1 file changed, 9 insertions(+), 9 deletions(-) diff --git a/issues/_index/20_ISSUES.html b/issues/_index/20_ISSUES.html index 941b533..6be8928 100644 --- a/issues/_index/20_ISSUES.html +++ b/issues/_index/20_ISSUES.html @@ -3,14 +3,14 @@