Fix typo in readme

This commit is contained in:
Vincent Ollivier 2024-03-06 08:59:42 +01:00
parent f179301fe1
commit f2f64c4512
1 changed files with 1 additions and 1 deletions

View File

@ -24,7 +24,7 @@ This project started from the [seventh post][1] of the second edition of
- Serial output (using [uart_16550][8])
- Paging
- Heap allocation (using [linked_list_allocator][9])
- ACPI shutdown (using [acpi][10]) and [aml][11])
- ACPI shutdown (using [acpi][10] and [aml][11])
- RTC clock
- PCI devices
- ATA PIO mode